From 3b81a1b80926138cf0c3fe6d88818b689be3121c Mon Sep 17 00:00:00 2001 From: Lukasz Dalek Date: Tue, 19 May 2020 16:58:48 +0200 Subject: Support optional labels at the end of module definition Signed-off-by: Lukasz Dalek --- frontends/verilog/verilog_parser.y | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y index 15c231f3b..6687a195e 100644 --- a/frontends/verilog/verilog_parser.y +++ b/frontends/verilog/verilog_parser.y @@ -435,7 +435,7 @@ module: mod->str = *$4; append_attr(mod, $1); delete $4; - } module_para_opt module_args_opt ';' module_body TOK_ENDMODULE { + } module_para_opt module_args_opt ';' module_body TOK_ENDMODULE opt_label { if (port_stubs.size() != 0) frontend_verilog_yyerror("Missing details for module port `%s'.", port_stubs.begin()->first.c_str()); -- cgit v1.2.3 From a8750b496e0bf7943abab813531cc3fba9b60352 Mon Sep 17 00:00:00 2001 From: Lukasz Dalek Date: Fri, 19 Jun 2020 20:46:38 +0200 Subject: Support optional labels at the end of package definition Signed-off-by: Lukasz Dalek --- frontends/verilog/verilog_parser.y | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y index 6687a195e..4f3df575b 100644 --- a/frontends/verilog/verilog_parser.y +++ b/frontends/verilog/verilog_parser.y @@ -556,7 +556,7 @@ package: current_ast_mod = mod; mod->str = *$4; append_attr(mod, $1); - } ';' package_body TOK_ENDPACKAGE { + } ';' package_body TOK_ENDPACKAGE opt_label { ast_stack.pop_back(); current_ast_mod = NULL; exitTypeScope(); -- cgit v1.2.3