From a5ca4eeefb13c24042bae36ea8f640b5529efd93 Mon Sep 17 00:00:00 2001 From: Kamil Rakoczy Date: Wed, 24 Jun 2020 11:45:38 +0200 Subject: Add or-assignment and plus-assignment tests Signed-off-by: Kamil Rakoczy --- tests/opt/opt_expr_or_assignment.ys | 15 +++++++++++++++ tests/opt/opt_expr_plus_assignment.ys | 15 +++++++++++++++ 2 files changed, 30 insertions(+) create mode 100644 tests/opt/opt_expr_or_assignment.ys create mode 100644 tests/opt/opt_expr_plus_assignment.ys diff --git a/tests/opt/opt_expr_or_assignment.ys b/tests/opt/opt_expr_or_assignment.ys new file mode 100644 index 000000000..21e08550f --- /dev/null +++ b/tests/opt/opt_expr_or_assignment.ys @@ -0,0 +1,15 @@ +read_verilog -sv <