From 0d6f4b068338c25f3de4ddab0747f714602037b5 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Fri, 14 Apr 2023 09:52:15 +0200 Subject: Release version 0.28 --- CHANGELOG | 13 ++++++++++++- Makefile | 4 ++-- 2 files changed, 14 insertions(+), 3 deletions(-) diff --git a/CHANGELOG b/CHANGELOG index 80672a8cc..ee6659f49 100644 --- a/CHANGELOG +++ b/CHANGELOG @@ -2,8 +2,19 @@ List of major changes and improvements between releases ======================================================= -Yosys 0.27 .. Yosys 0.27-dev +Yosys 0.27 .. Yosys 0.28 -------------------------- + * Verilog + - Out of bounds checking for struct/union members. + + * Verific support + - Fix enum_values support and signed attribute values. + + * ECP5 support + - Added "synth_ecp5 -iopad" + + * MachXO2 support + - Added "synth_machxo2 -ccu2" Yosys 0.26 .. Yosys 0.27 -------------------------- diff --git a/Makefile b/Makefile index 9592a6a5b..5cedb71ac 100644 --- a/Makefile +++ b/Makefile @@ -141,7 +141,7 @@ LDLIBS += -lrt endif endif -YOSYS_VER := 0.27+33 +YOSYS_VER := 0.28 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo @@ -157,7 +157,7 @@ endif OBJS = kernel/version_$(GIT_REV).o bumpversion: - sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 5f88c21.. | wc -l`/;" Makefile +# sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 5f88c21.. | wc -l`/;" Makefile # set 'ABCREV = default' to use abc/ as it is # -- cgit v1.2.3