From 0d2c33f9f4f8ca1bb507e3e688e0c7d372f0247b Mon Sep 17 00:00:00 2001 From: Eddie Hung Date: Wed, 13 May 2020 10:11:45 -0700 Subject: tests: update/extend task argument tests --- tests/verilog/bug2042-sv.ys | 34 ++++++++++++++++++++++++++++++++++ tests/verilog/bug2042.ys | 3 +-- 2 files changed, 35 insertions(+), 2 deletions(-) create mode 100644 tests/verilog/bug2042-sv.ys diff --git a/tests/verilog/bug2042-sv.ys b/tests/verilog/bug2042-sv.ys new file mode 100644 index 000000000..9a0d419c8 --- /dev/null +++ b/tests/verilog/bug2042-sv.ys @@ -0,0 +1,34 @@ +read_verilog -sv <