aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/void_func.ys
Commit message (Collapse)AuthorAgeFilesLines
* verilog: Support void functionsJannis Harder2023-03-201-0/+37
The difference between void functions and tasks is that always_comb's implicit sensitivity list behaves as if functions were inlined, but ignores signals read only in tasks. This only matters for event based simulation, and for synthesis we can treat a void function like a task.