aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/struct_access.sv
Commit message (Collapse)AuthorAgeFilesLines
* Fix access to whole sub-structs (#3086)Kamil Rakoczy2022-02-141-3/+2
| | | | | | * Add support for accessing whole struct * Update tests Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>
* sv: fix two struct access bugsZachary Snow2021-07-151-0/+88
- preserve signedness of struct members - fix initial width detection of struct members (e.g., in case expressions)