aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/port_int_types.sv
Commit message (Collapse)AuthorAgeFilesLines
* verilog: fix sizing of ports with int types in module headersZachary Snow2021-03-011-0/+50
Declaring the ports as standard module items already worked as expected. This adds a missing usage of `checkRange()` so that headers such as `module m(output integer x);` now work correctly.