aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/int_types.sv
Commit message (Collapse)AuthorAgeFilesLines
* sv: extended support for integer typesZachary Snow2021-02-281-0/+47
- Standard data declarations can now use any integer type - Parameters and localparams can now use any integer type - Function returns types can now use any integer type - Fix `parameter logic`, `localparam reg`, etc. to be 1 bit (previously 32 bits) - Added longint type (64 bits) - Unified parser source for integer type widths