aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/always_comb_latch_4.ys
Commit message (Collapse)AuthorAgeFilesLines
* sv: auto add nosync to certain always_comb local varsZachary Snow2022-01-071-0/+17
If a local variable is always assigned before it is used, then adding nosync prevents latches from being needlessly generated.