aboutsummaryrefslogtreecommitdiffstats
path: root/tests/asicworld/run-test.sh
Commit message (Collapse)AuthorAgeFilesLines
* Append (instead of over-writing) EXTRA_FLAGSJim Lawson2019-02-151-1/+1
|
* Add optional SEED=n command line option to Makefile, and -S n command line ↵Eric Smith2016-09-221-1/+13
| | | | option to test scripts, for deterministic regression tests.
* Added autotest -e (do not use -noexpr on write_verilog)Clifford Wolf2014-08-301-1/+1
|
* Added "make -j{N}" support to "make test"Clifford Wolf2014-07-301-1/+1
|
* Added note to "make test": use git checkout of iverilogClifford Wolf2014-07-161-1/+1
|
* Added tests/realmath to "make test"Clifford Wolf2014-06-151-1/+0
|
* initial importClifford Wolf2013-01-051-0/+3