aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/intel_alm/common
Commit message (Collapse)AuthorAgeFilesLines
* intel_alm: Add multiply signedness to cellsDan Ravensloft2020-08-264-6/+99
| | | | | | Quartus assumes unsigned multiplication by default, breaking signed multiplies, so add an input signedness parameter to the MISTRAL_MUL* cells to propagate to Quartus' <family>_mac cells.
* intel_alm: fix typo in MISTRAL_MUL27X27 cell nameDan Ravensloft2020-08-131-1/+1
|
* intel_alm: add more megafunctions. NFC.Dan Ravensloft2020-08-121-0/+431
|
* intel_alm: direct M10K instantiationDan Ravensloft2020-07-275-35/+122
| | | | This reverts commit a3a90f6377f251d3b6c5898eb1543f8832493bb8.
* intel_alm: add additional ABC9 timingsDan Ravensloft2020-07-234-72/+91
|
* Revert "intel_alm: direct M10K instantiation"Lofty2020-07-135-117/+34
| | | | This reverts commit 09ecb9b2cf3ab76841d30712bf70dafc6d47ef67.
* intel_alm: direct M10K instantiationDan Ravensloft2020-07-055-34/+117
|
* intel_alm: DSP inferenceDan Ravensloft2020-07-054-0/+135
|
* synth_intel_alm: Use dfflegalize.Marcelina Koƛcielnicka2020-07-041-117/+6
|
* Improve MISTRAL_FF specify rulesDan Ravensloft2020-07-041-5/+4
| | | | Co-authored-by: Eddie Hung <eddie@fpgeh.com>
* intel_alm: compose $__MISTRAL_FF_SYNCONLY from MISTRAL_FFEddie Hung2020-07-042-47/+2
|
* intel_alm: add $__ prefix to MISTRAL_FF_SYNCONLYEddie Hung2020-07-043-3/+3
|
* intel_alm: ABC9 sequential optimisationsDan Ravensloft2020-07-045-10/+126
|
* Add force_downto and force_upto wire attributes.Marcelina Koƛcielnicka2020-05-192-0/+8
| | | | Fixes #2058.
* intel_alm: direct LUTRAM cell instantiationDan Ravensloft2020-05-076-50/+141
| | | | | | | | | | By instantiating the LUTRAM cell directly, we avoid a trip through altsyncram, which speeds up Quartus synthesis time. This also gives a little more flexibility, as Yosys can build RAMs out of individual 32x1 LUTRAM cells. While working on this, I discovered that the mem_init0 parameter of <family>_mlab_cell gets ignored by Quartus.
* intel_alm: cleanup duplicationDan Ravensloft2020-04-241-0/+63
|
* intel_alm: Documentation improvementsDan Ravensloft2020-04-213-14/+127
|
* synth_intel_alm: VQM supportDan Ravensloft2020-04-151-6/+2
|
* synth_intel_alm: alternative synthesis for Intel FPGAsDan Ravensloft2020-04-1513-0/+1082
By operating at a layer of abstraction over the rather clumsy Intel primitives, we can avoid special hacks like `dffinit -highlow` in favour of simple techmapping. This also makes the primitives much easier to manipulate, and more descriptive (no more cyclonev_lcell_comb to mean anything from a LUT2 to a LUT6).