aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/intel_alm/Makefile.inc
Commit message (Collapse)AuthorAgeFilesLines
* intel_alm: M10K write-enable is negative-trueLofty2022-03-091-0/+1
|
* intel_alm: Add IO buffer insertiongatecat2021-05-151-0/+1
| | | | Signed-off-by: gatecat <gatecat@ds0.me>
* intel: move Cyclone V support to intel_almDan Ravensloft2020-08-201-0/+2
|
* intel_alm: direct M10K instantiationDan Ravensloft2020-07-271-3/+3
| | | | This reverts commit a3a90f6377f251d3b6c5898eb1543f8832493bb8.
* Revert "intel_alm: direct M10K instantiation"Lofty2020-07-131-3/+3
| | | | This reverts commit 09ecb9b2cf3ab76841d30712bf70dafc6d47ef67.
* intel_alm: direct M10K instantiationDan Ravensloft2020-07-051-3/+3
|
* intel_alm: DSP inferenceDan Ravensloft2020-07-051-0/+2
|
* intel_alm: ABC9 sequential optimisationsDan Ravensloft2020-07-041-0/+3
|
* intel_alm: direct LUTRAM cell instantiationDan Ravensloft2020-05-071-1/+1
| | | | | | | | | | By instantiating the LUTRAM cell directly, we avoid a trip through altsyncram, which speeds up Quartus synthesis time. This also gives a little more flexibility, as Yosys can build RAMs out of individual 32x1 LUTRAM cells. While working on this, I discovered that the mem_init0 parameter of <family>_mlab_cell gets ignored by Quartus.
* intel_alm: cleanup duplicationDan Ravensloft2020-04-241-3/+0
|
* synth_intel_alm: alternative synthesis for Intel FPGAsDan Ravensloft2020-04-151-0/+23
By operating at a layer of abstraction over the rather clumsy Intel primitives, we can avoid special hacks like `dffinit -highlow` in favour of simple techmapping. This also makes the primitives much easier to manipulate, and more descriptive (no more cyclonev_lcell_comb to mean anything from a LUT2 to a LUT6).