aboutsummaryrefslogtreecommitdiffstats
path: root/kernel/log.h
Commit message (Collapse)AuthorAgeFilesLines
* Initial implementation of elaboration system tasksUdi Finkelstein2019-05-031-0/+1
| | | | | | | (IEEE1800-2017 section 20.11) This PR allows us to use $info/$warning/$error/$fatal **at elaboration time** within a generate block. This is very useful to stop a synthesis of a parametrized block when an illegal combination of parameters is chosen.
* Add log_debug() frameworkClifford Wolf2019-04-221-0/+43
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add optional nullstr argument to log_id()Clifford Wolf2019-01-151-1/+3
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix typographical and grammatical errors and inconsistencies.whitequark2019-01-021-1/+1
| | | | | | | | | | | | The initial list of hits was generated with the codespell command below, and each hit was evaluated and fixed manually while taking context into consideration. DIRS="kernel/ frontends/ backends/ passes/ techlibs/" DIRS="${DIRS} libs/ezsat/ libs/subcircuit" codespell $DIRS -S *.o -L upto,iff,thru,synopsys,uint More hits were found by looking through comments and strings manually.
* Provide source-location logging.Henner Zeller2018-07-191-0/+5
| | | | | | | | o Provide log_file_warning() and log_file_error() that prefix the log message with <filename>:<lineno>: to be easily picked up by IDEs that need to step through errors. o Simplify some duplicate logging code in kernel/log.cc o Use the new log functions in genrtlil.
* Add "#ifdef __FreeBSD__"Christian Krämer2018-05-131-1/+1
| | | | (Re-commit e3575a8 with corrected author field)
* Revert "Add "#ifdef __FreeBSD__""Clifford Wolf2018-05-131-1/+1
| | | | This reverts commit e3575a86c525f2511902e7022893c3923ba8093e.
* Add "#ifdef __FreeBSD__"Johnny Sorocil2018-05-051-1/+1
|
* Add "yosys -e regex" for turning warnings into errorsClifford Wolf2018-05-041-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Harmonize uses of _WIN32 macroLarry Doolittle2018-03-111-1/+1
|
* Improve handling of warning messagesClifford Wolf2018-03-041-0/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add log_warning_noprefix() API, Use for Verific warnings and errorsClifford Wolf2017-07-271-0/+2
|
* Store command history when terminating with an errorClifford Wolf2017-06-201-0/+1
|
* Add "yosys -w" for suppressing warningsClifford Wolf2017-02-121-1/+1
|
* Add log_wire() APIClifford Wolf2017-02-111-0/+1
|
* Added "yosys -W regex"Clifford Wolf2016-12-221-0/+2
|
* Added log_const() APIClifford Wolf2016-08-091-0/+1
|
* Added "read_verilog -dump_rtlil"Clifford Wolf2016-07-271-0/+1
|
* Fixes for MXE buildClifford Wolf2016-05-071-7/+7
|
* Added "yosys -D ALL"Clifford Wolf2016-04-241-0/+1
|
* Added "yosys -D" featureClifford Wolf2016-04-211-2/+3
|
* Added log_dump() support for dict<> and pool<> containersClifford Wolf2016-03-311-0/+26
|
* Microsoft Visual C++ fix for log.h.Andrei Errapart2015-09-011-0/+5
|
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-141-1/+1
|
* Fixed trailing whitespacesClifford Wolf2015-07-021-2/+2
|
* Added log_dump() support for IdStringsClifford Wolf2015-06-081-0/+1
|
* YosysJS stuffClifford Wolf2015-02-191-0/+1
|
* Added ENABLE_NDEBUG makefile optionsClifford Wolf2015-01-241-1/+1
|
* Added #ifdef NDEBUG for log_assert()Clifford Wolf2015-01-241-1/+5
|
* Only enable code coverage counters on linuxClifford Wolf2015-01-091-2/+2
|
* Print non-errors to stdoutClifford Wolf2015-01-031-0/+1
|
* Added "yosys -X"Clifford Wolf2014-12-291-0/+1
|
* Replaced std::unordered_map as implementation for Yosys::dictClifford Wolf2014-12-261-2/+2
|
* Added new_dict (hashmap.h) and re-enabled code coverage countersClifford Wolf2014-12-261-2/+2
|
* Added "yosys -qq" to also quiet warning messagesClifford Wolf2014-11-091-0/+1
|
* Introducing YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURNClifford Wolf2014-11-091-8/+8
|
* Added log_warning() APIClifford Wolf2014-11-091-1/+3
|
* Added "used" attribute to entries in yosys_cover_listClifford Wolf2014-11-071-1/+1
| | | | | http://www.reddit.com/r/yosys/comments/2kw479/fyi_clang_350_build_error/cltgwyc http://llvm.org/bugs/show_bug.cgi?id=19474
* Fixed typo in "log_cmd_error_exception"Clifford Wolf2014-11-071-1/+1
|
* Made "cover" a compile-time option (disabled by default)Clifford Wolf2014-11-061-2/+1
|
* Various win32 / vs build fixesClifford Wolf2014-10-171-2/+2
|
* Fixed a few VS warningsClifford Wolf2014-10-171-1/+1
|
* Replaced log_assert() do { ... } while (0) hack with a static inline functionClifford Wolf2014-10-151-1/+5
|
* A few indent fixesClifford Wolf2014-10-151-2/+2
|
* Define empty __attribute__ macro for non-gcc, non-clang compilersClifford Wolf2014-10-151-13/+5
|
* Fixed log so it will compile under Visual StudioWilliam Speirs2014-10-151-7/+15
| | | | - Included an implementation of gettimeofday
* Replaced "#ifdef WIN32" with "#ifdef _WIN32"Clifford Wolf2014-10-091-3/+3
|
* No rusage on win32Clifford Wolf2014-10-091-1/+8
|
* namespace YosysClifford Wolf2014-09-271-4/+7
|
* Removed compatbility.{h,cc}: Not using open_memstream/fmemopen anymoreClifford Wolf2014-08-231-0/+1
|