aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Collapse)AuthorAgeFilesLines
...
* | Fitting help messages to 80 character widthKrystalDelusion2022-08-241-6/+6
|/ | | | | | | | | Uses the regex below to search (using vscode): ^\t\tlog\("(.{10,}(?<!\\n)|.{81,}\\n)"\); Finds any log messages double indented (which help messages are) and checks if *either* there are is no newline character at the end, *or* the number of characters before the newline is more than 80.
* set default_nettype to wire for resetallMiodrag Milanovic2022-08-101-0/+1
|
* resetall does not affect text defines, but undefineall doesMiodrag Milanovic2022-08-101-0/+4
|
* Encode filename unprintable charsMiodrag Milanovic2022-08-083-27/+27
|
* verific - make filepath handling compatible with verilog frontendMiodrag Milanovic2022-08-081-15/+29
|
* Merge pull request #3089 from YosysHQ/gatecat/liberty_wbMiodrag Milanović2022-08-011-0/+14
|\ | | | | Add read_liberty -wb
| * Add read_liberty -wbgatecat2021-11-251-0/+14
| | | | | | | | Signed-off-by: gatecat <gatecat@ds0.me>
* | Setting wire upto in verific importMiodrag Milanovic2022-07-291-2/+5
| |
* | Update READMEMiodrag Milanović2022-07-281-1/+1
| |
* | Upadte documentation and changelogMiodrag Milanovic2022-07-041-0/+1
| |
* | Update to new verific extensions intefaceMiodrag Milanovic2022-06-301-3/+29
| |
* | Add check for BLIF with no model nameArchie2022-06-221-1/+4
| |
* | Revert "use new verific extensions library"Miodrag Milanovic2022-06-211-70/+54
| | | | | | | | This reverts commit 607e957657fc56625de5c28ea9cd43c859017d96.
* | use new verific extensions libraryMiodrag Milanovic2022-06-171-54/+70
| |
* | removed deprecated features codeMiodrag Milanovic2022-06-131-235/+0
| |
* | verific: Added "-vlog-libext" option to specify search extension for librariesMiodrag Milanovic2022-06-091-1/+16
| |
* | verific: proper file location for readmem commandsMiodrag Milanovic2022-06-041-0/+33
| |
* | verilog: fix width/sign detection for functionsZachary Snow2022-05-301-5/+7
| |
* | verilog: fix size and signedness of array querying functionsJannis Harder2022-05-302-3/+2
| | | | | | | | | | | | | | | | | | | | genrtlil.cc and simplify.cc had inconsistent and slightly broken handling of signedness for array querying functions. These functions are defined to return a signed result. Simplify always produced an unsigned and genrtlil always a signed 32-bit result ignoring the context. Includes tests for the the relvant edge cases for context dependent conversions.
* | verilog: fix $past's signednessJannis Harder2022-05-252-1/+2
| |
* | verilog: fix signedness when removing unreachable casesJannis Harder2022-05-241-0/+1
| |
* | fix text to fit 80 columnsMiodrag Milanovic2022-05-231-6/+9
| |
* | Update verific command file documentationMiodrag Milanovic2022-05-231-17/+19
| |
* | Use analysis mode if set in fileMiodrag Milanovic2022-05-231-2/+2
| |
* | verific: Use new value change logic also for $stable of wide signals.Jannis Harder2022-05-111-7/+29
| | | | | | | | I missed this in the previous PR.
* | Merge pull request #3305 from jix/sva_value_change_logicJannis Harder2022-05-091-10/+25
|\ \ | | | | | | verific: Improve logic generated for SVA value change expressions
| * | verific: Improve logic generated for SVA value change expressionsJannis Harder2022-05-091-10/+25
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | The previously generated logic assumed an unconstrained past value in the initial state and did not handle 'x values. While the current formal verification flow uses 2-valued logic, SVA value change expressions require a past value of 'x during the initial state to behave in the expected way (i.e. to consider both an initial 0 and an initial 1 as $changed and an initial 1 as $rose and an initial 0 as $fell). This patch now generates logic that at the same time a) provides the expected behavior in a 2-valued logic setting, not depending on any dont-care optimizations, and b) properly handles 'x values in yosys simulation
* | | verific: Fix conditions of SVAs with explicit clocks within proceduresJannis Harder2022-05-033-5/+16
|/ / | | | | | | | | | | | | | | | | For SVAs that have an explicit clock and are contained in a procedure which conditionally executes the assertion, verific expresses this using a mux with one input connected to constant 1 and the other output connected to an SVA_AT. The existing code only handled the case where the first input is connected to 1. This patch also handles the other case.
* | Ignore merging past ffs that we are not properly mergingMiodrag Milanovic2022-04-291-0/+1
| |
* | verific: allow memories to be inferred in loops (vhdl)Miodrag Milanovic2022-04-181-0/+1
| |
* | verific: allow memories to be inferred in loopsN. Engelhardt2022-04-151-0/+1
| |
* | sv: fix always_comb auto nosync for nested and function blocksZachary Snow2022-04-051-1/+11
| |
* | Preserve internal wires for external netsMiodrag Milanovic2022-04-011-1/+1
| |
* | Fix valgrind tests when using verificMiodrag Milanovic2022-03-301-0/+8
| |
* | Properly mark modules importedMiodrag Milanovic2022-03-261-2/+2
| |
* | Import verific netlist in consistent orderMiodrag Milanovic2022-03-252-23/+27
| |
* | Merge pull request #3206 from YosysHQ/micko/quote_removeMiodrag Milanović2022-03-041-1/+4
|\ \ | | | | | | Remove quotes if any from attribute
| * | Remove quotes if any from attributeMiodrag Milanovic2022-02-161-1/+4
| | |
* | | fix handling of escaped chars in json backend and frontendN. Engelhardt2022-02-181-3/+31
|/ /
* | verilog: support for time scale delay valuesZachary Snow2022-02-142-4/+16
| |
* | Fix access to whole sub-structs (#3086)Kamil Rakoczy2022-02-142-6/+18
| | | | | | | | | | | | * Add support for accessing whole struct * Update tests Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>
* | verilog: fix dynamic dynamic range asgn elabZachary Snow2022-02-111-17/+34
| |
* | verilog: fix const func eval with upto variablesZachary Snow2022-02-112-3/+11
| |
* | Merge pull request #3164 from zachjs/fix-ast-warnMiodrag Milanović2022-02-111-1/+1
|\ \ | | | | | | fix dumpAst() compilation warning
| * | fix dumpAst() compilation warningZachary Snow2022-01-181-1/+1
| | |
* | | Add ability to override verilog mode for verific -f commandMiodrag Milanovic2022-02-091-2/+44
| | |
* | | Use bmux for NTO1MUXMiodrag Milanovic2022-02-021-16/+2
|/ /
* | sv: auto add nosync to certain always_comb local varsZachary Snow2022-01-071-0/+127
| | | | | | | | | | If a local variable is always assigned before it is used, then adding nosync prevents latches from being needlessly generated.
* | sv: fix size cast internal expression extensionZachary Snow2022-01-071-2/+9
| |
* | sv: fix size cast clipping expression widthZachary Snow2022-01-031-1/+2
| |