aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific/verific.cc
Commit message (Expand)AuthorAgeFilesLines
...
* Fix handling of unclocked immediate assertions in Verific front-endClifford Wolf2018-03-261-1/+6
* Improve import of memories via VerificClifford Wolf2018-03-151-16/+23
* Fix handling of SV compilation units in Verific front-endClifford Wolf2018-03-141-28/+25
* Use Verific hier_tree component for elaborationClifford Wolf2018-03-081-0/+54
* Fix Verific handling of "assert property (..);" in always blockClifford Wolf2018-03-071-4/+33
* Add "verific -import -V"Clifford Wolf2018-03-071-4/+16
* Set Verific db_preserve_user_nets flagClifford Wolf2018-03-071-0/+1
* Add proper SVA seq.triggered supportClifford Wolf2018-03-041-0/+7
* Add VerificClocking class and refactor Verific DFF handlingClifford Wolf2018-03-041-43/+158
* Fixes and improvements in Verific SVA importerClifford Wolf2018-03-011-5/+9
* Add $rose/$fell support to Verific bindingsClifford Wolf2018-03-011-3/+22
* Continue refactoring of Verific SVA importer codeClifford Wolf2018-02-281-62/+29
* Add handling of verific OPER_REDUCE_NORClifford Wolf2018-02-261-0/+6
* Add handling of verific OPER_SELECTOR and OPER_WIDE_SELECTORClifford Wolf2018-02-261-0/+13
* Add handling of verific OPER_NTO1MUX and OPER_WIDE_NTO1MUXClifford Wolf2018-02-261-0/+25
* Add support for SVA until statements via VerificClifford Wolf2018-02-181-23/+0
* Move Verific SVA importer to extra C++ source fileClifford Wolf2018-02-181-1279/+905
* Merge Verific SVA preprocessor and SVA importerClifford Wolf2018-02-181-79/+44
* Fix verific PRIM_SVA_AT handling in properties with PRIM_SVA_DISABLE_IFFClifford Wolf2018-02-151-1/+1
* Fix single-bit $stable handling in verific front-endClifford Wolf2018-02-011-0/+22
* Add Verific attribute handling for assert/assume/cover/live/fair cellsClifford Wolf2018-01-311-10/+16
* Fixed handling of synchronous and asynchronous assertion/assumption/cover in ...Clifford Wolf2018-01-231-27/+29
* Add support for Verific PRIM_SVA_NOT propertiesClifford Wolf2017-12-101-10/+25
* Add Verific OPER_SVA_STABLE supportClifford Wolf2017-12-101-2/+32
* Refactoring Verific SVA rewriterClifford Wolf2017-12-101-62/+70
* Remove all PSL support code from verific.ccClifford Wolf2017-10-201-179/+17
* Add "verific -vlog-libdir"Clifford Wolf2017-10-131-0/+12
* Add "verific -vlog-incdir" and "verific -vlog-define"Clifford Wolf2017-10-131-0/+35
* Add Verific fairness/liveness supportClifford Wolf2017-10-121-11/+32
* Start work on pre-processor for Verific SVA propertiesClifford Wolf2017-10-101-10/+153
* Improve handling of Verific errorsClifford Wolf2017-10-051-11/+9
* Improve Verific error handling, check VHDL static assertsClifford Wolf2017-10-041-11/+25
* Fix nasty bug in Verific bindingsClifford Wolf2017-10-041-1/+1
* Add merging of "past FFs" to verific importerClifford Wolf2017-07-291-2/+76
* Add minimal support for PSL in VHDL via VerificClifford Wolf2017-07-281-19/+155
* Improve Verific HDL language optionsClifford Wolf2017-07-281-4/+4
* Fix handling of non-user-declared Verific netbusClifford Wolf2017-07-281-2/+3
* Improve Verific SVA importerClifford Wolf2017-07-271-0/+34
* Add log_warning_noprefix() API, Use for Verific warnings and errorsClifford Wolf2017-07-271-1/+1
* Add "verific -import -n" and "verific -import -nosva"Clifford Wolf2017-07-271-14/+36
* Improve Verific SVA import: negedge and $pastClifford Wolf2017-07-271-6/+49
* Improve Verific SVA importerClifford Wolf2017-07-271-37/+58
* Improve Verific bindings (mostly related to SVA)Clifford Wolf2017-07-261-110/+320
* Improve "help verific" messageClifford Wolf2017-07-251-5/+5
* Add "verific -extnets"Clifford Wolf2017-07-251-23/+130
* Improve "verific -all" handlingClifford Wolf2017-07-251-26/+45
* Add "verific -import -d <dump_file"Clifford Wolf2017-07-241-6/+35
* Add "verific -import -flatten" and "verific -import -v"Clifford Wolf2017-07-241-107/+164
* Add "verific -import -k"Clifford Wolf2017-07-221-42/+51
* Add Verific Release information to logClifford Wolf2017-07-041-0/+12