aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast
Commit message (Expand)AuthorAgeFilesLines
...
* | | remove leftovers from ast data structuresStefan Biereigel2019-05-272-4/+0
* | | move wand/wor resolution into hierarchy passStefan Biereigel2019-05-271-97/+14
* | | fix assignment of non-wiresStefan Biereigel2019-05-231-16/+19
* | | fix indentation across filesStefan Biereigel2019-05-233-61/+81
* | | implementation for assignments workingStefan Biereigel2019-05-233-14/+83
* | | make lexer/parser aware of wand/wor net typesStefan Biereigel2019-05-231-1/+1
|/ /
* | Merge pull request #946 from YosysHQ/clifford/specifyClifford Wolf2019-05-062-1/+30
|\ \
| * | Improve write_verilog specify supportClifford Wolf2019-05-041-0/+3
| * | Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-032-0/+12
| |\ \
| * | | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-232-2/+8
| * | | Allow $specify[23] cells in blackbox modulesClifford Wolf2019-04-231-0/+6
| * | | Checking and fixing specify cells in genRTLILClifford Wolf2019-04-231-1/+15
* | | | Merge branch 'master' of github.com:YosysHQ/yosys into clifford/fix968Clifford Wolf2019-05-062-0/+3
|\ \ \ \ | | |/ / | |/| |
| * | | Add splitcmplxassign test case and silence splitcmplxassign warningClifford Wolf2019-05-011-0/+1
| * | | Fix width detection of memory access with bit slice, fixes #974Clifford Wolf2019-05-011-0/+2
| | |/ | |/|
* / | Re-enable "final loop assignment" featureClifford Wolf2019-05-011-2/+0
|/ /
* | Disabled "final loop assignment" featureClifford Wolf2019-04-301-0/+2
* | Add final loop variable assignment when unrolling for-loops, fixes #968Clifford Wolf2019-04-301-0/+7
|/
* Determine correct signedness and expression width in for loop unrolling, fixe...Clifford Wolf2019-04-221-3/+18
* Merge pull request #909 from zachjs/masterClifford Wolf2019-04-221-1/+20
|\
| * support repeat loops with constant repeat counts outside of constant functionsZachary Snow2019-04-091-1/+20
* | Add "noblackbox" attributeClifford Wolf2019-04-211-17/+27
* | New behavior for front-end handling of whiteboxesClifford Wolf2019-04-202-18/+70
* | Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-182-4/+22
|/
* Fix mem2reg handling of memories with upto data ports, fixes #888Clifford Wolf2019-03-211-1/+10
* Improve "read_verilog -dump_vlog[12]" handling of upto rangesClifford Wolf2019-03-211-3/+6
* Improve read_verilog debug output capabilitiesClifford Wolf2019-03-212-10/+18
* fix local name resolution in prefix constructsZachary Snow2019-03-181-1/+5
* Improve handling of "full_case" attributesClifford Wolf2019-03-141-0/+9
* Improve handling of memories used in mem index expressions on LHS of an assig...Clifford Wolf2019-03-121-5/+16
* Remove outdated "blocking assignment to memory" warningClifford Wolf2019-03-121-10/+0
* Only set MEM2REG_FL_CONST_LHS/MEM2REG_FL_VAR_LHS for non-init writes, fixes #867Clifford Wolf2019-03-121-6/+8
* Merge pull request #858 from YosysHQ/clifford/svalabelsClifford Wolf2019-03-092-3/+10
|\
| * Add support for SVA labels in read_verilogClifford Wolf2019-03-072-3/+10
* | Fix handling of task output ports in clocked always blocks, fixes #857Clifford Wolf2019-03-071-15/+18
|/
* Merge pull request #848 from YosysHQ/clifford/fix763Clifford Wolf2019-03-021-1/+5
|\
| * Fix error for wire decl in always block, fixes #763Clifford Wolf2019-03-021-1/+5
* | Only run derive on blackbox modules when ports have dynamic sizeClifford Wolf2019-03-022-0/+20
|/
* Fix $global_clock handling vs autowireClifford Wolf2019-03-021-1/+1
* Fix $readmem[hb] for mem2reg memories, fixes #785Clifford Wolf2019-03-021-0/+35
* Use mem2reg on memories that only have constant-index write portsClifford Wolf2019-03-012-0/+13
* Fix handling of defparam for when default_nettype is noneClifford Wolf2019-02-241-0/+4
* Fixes related to handling of autowires and upto-ranges, fixes #814Clifford Wolf2019-02-212-9/+12
* Fix handling of expression width in $past, fixes #810Clifford Wolf2019-02-211-1/+1
* Fix segfault in printing of some internal error messagesClifford Wolf2019-02-211-2/+2
* Fix sign handling of real constantsClifford Wolf2019-02-131-5/+4
* Fix typographical and grammatical errors and inconsistencies.whitequark2019-01-021-2/+2
* Fix segfault in AST simplifyClifford Wolf2018-12-181-0/+5
* Make return value of $clog2 signedSylvain Munaut2018-11-241-1/+1
* Various indenting fixes in AST front-end (mostly space vs tab issues)Clifford Wolf2018-11-043-99/+69