aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* opt_expr: Remove -clkinv option, make it the default.Marcelina Kościelnicka2020-07-315-18/+17
| | | | | Adds -noclkinv option just in case the old behavior was actually useful to someone.
* synth_ice40: Use opt_dff.Marcelina Kościelnicka2020-07-307-390/+93
| | | | | | | | | The main part is converting ice40_dsp to recognize the new FF types created in opt_dff instead of trying to recognize the mux patterns on its own. The fsm call has been moved upwards because the passes cannot deal with $dffe/$sdff*, and other optimizations don't help it much anyway.
* synth_xilinx: Use opt_dff.Marcelina Kościelnicka2020-07-307-887/+219
| | | | | | | | | The main part is converting xilinx_dsp to recognize the new FF types created in opt_dff instead of trying to recognize the patterns on its own. The fsm call has been moved upwards because the passes cannot deal with $dffe/$sdff*, and other optimizations don't help it much anyway.
* async2sync: Support all FF types.Marcelina Kościelnicka2020-07-303-145/+208
|
* Add opt_dff pass.Marcelina Kościelnicka2020-07-3012-3/+1790
|
* verilog_backend: Add handling for all FF types.Marcelina Kościelnicka2020-07-301-252/+134
|
* Merge pull request #2314 from YosysHQ/verifix_errorfixMiodrag Milanović2020-07-291-1/+3
|\ | | | | Verific - prevent exit yosys due to stored error
| * Clear last error messageMiodrag Milanovic2020-07-291-1/+3
|/
* opt_expr: Fix handling of $_XNOR_ cells with A = B.Marcelina Kościelnicka2020-07-292-1/+15
| | | | Fixes #2311.
* ffinit: Fortify the code a bit.Marcelina Kościelnicka2020-07-281-24/+19
| | | | | This fixes handling of messy cases involving repeatedly setting and removing the same init bit.
* Merge pull request #2301 from zachjs/for-loop-errorsclairexen2020-07-281-17/+19
|\ | | | | Clearer for loop error messages
| * Clearer for loop error messagesZachary Snow2020-07-251-17/+19
| |
* | Merge pull request #2306 from YosysHQ/mwk/equiv_induct-undefclairexen2020-07-282-1/+38
|\ \ | | | | | | equiv_induct: Fix up assumption for $equiv cells in -undef mode.
| * | equiv_induct: Fix up assumption for $equiv cells in -undef mode.Marcelina Kościelnicka2020-07-272-1/+38
| |/ | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Before this fix, equiv_induct only assumed that one of the following is true: - defined value of A is equal to defined value of B - A is undefined This lets through valuations where A is defined, B is undefined, and the defined (meaningless) value of B happens to match the defined value of A. Instead, tighten this up to OR of the following: - defined value of A is equal to defined value of B, and B is not undefined - A is undefined
* | intel_alm: direct M10K instantiationDan Ravensloft2020-07-278-39/+133
| | | | | | | | This reverts commit a3a90f6377f251d3b6c5898eb1543f8832493bb8.
* | intel_alm: increase abc9 -WDan Ravensloft2020-07-262-7/+7
| |
* | Merge pull request #2299 from zachjs/arg-loopclairexen2020-07-263-0/+73
|\ \ | |/ |/| Avoid generating wires for function args which are constant
| * Avoid generating wires for function args which are constantZachary Snow2020-07-243-0/+73
| |
* | async2sync: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-53/+11
| |
* | memory_dff: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-12/+5
| |
* | proc_dlatch: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-28/+8
| |
* | pmux2shift: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-15/+4
| |
* | wreduce: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-37/+7
| |
* | techmap: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-41/+4
| |
* | shregmap: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-39/+10
| |
* | abc: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-25/+6
| |
* | dffinit: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-41/+7
| |
* | zinit: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-242-45/+13
| |
* | dfflegalize: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-80/+25
| |
* | clk2fflogic: Support all FF types.Marcelina Kościelnicka2020-07-2420-324/+245
| |
* | satgen: Add support for dffe, sdff, sdffe, sdffce cells.Marcelina Kościelnicka2020-07-244-6/+88
|/
* Add utility module for representing flip-flops.Marcelina Kościelnicka2020-07-232-0/+441
|
* memory_dff: recognize more dff cellsMarcelina Kościelnicka2020-07-231-11/+112
|
* Add utility module for dealing with init attributes.Marcelina Kościelnicka2020-07-232-0/+147
|
* Merge pull request #2285 from YosysHQ/mwk/techmap-cellnameclairexen2020-07-234-1/+50
|\ | | | | techmap: Add _TECHMAP_CELLNAME_ special parameter.
| * techmap: Add _TECHMAP_CELLNAME_ special parameter.Marcelina Kościelnicka2020-07-214-1/+50
| | | | | | | | | | | | | | This parameter will resolve to the name of the cell being mapped. The first user of this parameter will be synth_intel_alm's Quartus output, which requires a unique (and preferably descriptive) name passed as a cell parameter for the memory cells.
* | Merge pull request #2294 from Ravenslofty/intel_alm_timingsclairexen2020-07-235-78/+95
|\ \ | | | | | | intel_alm: add additional ABC9 timings
| * | intel_alm: add additional ABC9 timingsDan Ravensloft2020-07-235-78/+95
| | |
* | | Remove EXPLICIT_CARRY logic.Keith Rothman2020-07-233-150/+2
| |/ |/| | | | | | | | | | | The symbiflow-arch-defs tool chain no longer needs the EXPLICIT_CARRY within yosys itself. Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* | Merge pull request #2215 from boqwxp/qbfsat-solver-optionsclairexen2020-07-214-4/+45
|\ \ | | | | | | qbfsat, smt2, smtio: Add `-solver-option` to allow specifying SMT-LIBv2 `(set-option ...)` commands
| * | smtio: Emit `mode: start` options before `set-logic` command and any other ↵Alberto Gonzalez2020-07-201-1/+8
| | | | | | | | | | | | | | | | | | options after it. Refer to the SMT-LIB specification, section 4.1.7. According to the spec, some options can only be specified in `start` mode. Once the solver sees `set-logic`, it moves to `assert` mode.
| * | smtio: Add support for parsing `yosys-smt2-solver-option` info statements.Alberto Gonzalez2020-07-201-3/+10
| | |
| * | qbfsat: Add `-solver-option` option.Alberto Gonzalez2020-07-202-1/+15
| | |
| * | smt2: Add `-solver-option` option.Alberto Gonzalez2020-07-201-0/+13
|/ /
* | Merge pull request #2282 from YosysHQ/claire/satunsatclairexen2020-07-202-4/+4
|\ \ | | | | | | Only allow "sat" and "unsat" smt solver responses in yosys-smtbmc
| * | Only allow "sat" and "unsat" smt solver responses in yosys-smtbmcClaire Wolf2020-07-202-4/+4
| | | | | | | | | | | | Signed-off-by: Claire Wolf <claire@symbioticeda.com>
* | | celltypes: Fix EN port name for some FF types.Marcelina Kościelnicka2020-07-201-4/+4
|/ /
* | Merge pull request #2276 from YosysHQ/mwk/satgen-ccclairexen2020-07-203-1166/+1190
|\ \ | |/ |/| satgen: Move importCell out of the header.
| * satgen: Move importCell out of the header.Marcelina Kościelnicka2020-07-193-1166/+1190
|/ | | | | This function has no hope of ever getting inlined anyway, and it speeds up yosys compile time by 7%.
* Merge pull request #2275 from YosysHQ/mwk/sf2-clkint-fixMiodrag Milanović2020-07-171-2/+6
|\ | | | | sf2: Emit CLKINT even if -clkbuf not passed