aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* Add minisat 00_PATCH_typofixes.patchClifford Wolf2017-03-272-0/+21
|
* Remove use of <fpu_control.h> in minisatClifford Wolf2017-03-274-18/+44
|
* Add "write_smt2 -stdt" modeClifford Wolf2017-03-202-37/+84
|
* Add generation of logic cells to EDIF back-end runtest.pyClifford Wolf2017-03-191-2/+6
|
* Fix EDIF: portRef member 0 is always the MSB bitClifford Wolf2017-03-192-13/+14
|
* Add simple EDIF test case generator and checkerClifford Wolf2017-03-181-0/+113
|
* Fix verilog pre-processor for multi-level relative includesClifford Wolf2017-03-141-4/+26
|
* Improve smt2 encodings of assert/assume/cover, better wire_smt2 help msgClifford Wolf2017-03-042-33/+87
|
* Add write_aiger $anyseq supportClifford Wolf2017-03-021-0/+7
|
* Allow $anyconst, etc. in non-formal SV modeClifford Wolf2017-03-011-1/+1
|
* Disable opt_merge for $anyseq and $anyconstClifford Wolf2017-02-281-0/+3
|
* Use hex addresses in smtbmc vcd mem tracesClifford Wolf2017-02-281-1/+1
|
* Add "chformal -assert2assume" and friendsClifford Wolf2017-02-281-0/+44
|
* Add "chformal" passClifford Wolf2017-02-272-0/+239
|
* Add smtbmc support for memory vcd dumpingClifford Wolf2017-02-261-0/+98
|
* Fix extra newline bug in write_smt2Clifford Wolf2017-02-261-1/+1
|
* Fix bug in smtio unroll codeClifford Wolf2017-02-261-3/+2
|
* Fix assert checking in "yosys-smtbmc -c --append"Clifford Wolf2017-02-261-1/+1
|
* Improve (and fix for stbv mode) SMT2 memory APIClifford Wolf2017-02-263-47/+51
|
* Add support for "yosys-smtbmc -c --append"Clifford Wolf2017-02-251-1/+13
|
* Update ABC to hg rev 3a95bfa55df7Clifford Wolf2017-02-251-1/+1
|
* Merge branch 'klammerj-master'Clifford Wolf2017-02-251-56/+106
|\
| * Improve "write_edif" help messageClifford Wolf2017-02-251-7/+2
| |
| * Move EdifNames out of double-private namespaceClifford Wolf2017-02-251-48/+45
| |
| * Clean up edif code, swap bit indexing of "upto" portsClifford Wolf2017-02-251-17/+35
| |
| * Merge branch 'master' of https://github.com/klammerj/yosys into klammerj-masterClifford Wolf2017-02-251-6/+46
|/|
| * Did as you requested, /but/...Johann Klammer2017-02-242-48/+32
| | | | | | | | Now the nets are wired in reverse again because the netlister still uses zero-based indices.
| * add options for edif flavorsJohann Klammer2017-02-232-7/+63
| | | | | | | | | | | | *to force renames on wide ports *to choose array delimiters *to choose up or downwards indices
* | Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2017-02-251-3/+4
|\ \
| * \ Merge pull request #322 from azonenberg/masterClifford Wolf2017-02-241-3/+4
| |\ \ | | | | | | | | Add POUT to GP_COUNTx cells
| | * \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-247-37/+113
| | |\ \
| | * \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-162-3/+9
| | |\ \ \
| | * \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-1411-47/+240
| | |\ \ \ \
| | * \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-1110-58/+273
| | |\ \ \ \ \
| | * \ \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-0829-712/+1257
| | |\ \ \ \ \ \
| | * \ \ \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-01-153-3/+7
| | |\ \ \ \ \ \ \
| | * \ \ \ \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-01-055-42/+135
| | |\ \ \ \ \ \ \ \
| | * \ \ \ \ \ \ \ \ Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-01-014-4/+65
| | |\ \ \ \ \ \ \ \ \
| | * | | | | | | | | | greenpak4: Added POUT to GP_COUNTx cellsAndrew Zonenberg2017-01-011-3/+4
| | | | | | | | | | | |
* | | | | | | | | | | | Add $live and $fair support to AIGER back-end.Clifford Wolf2017-02-251-8/+104
| | | | | | | | | | | |
* | | | | | | | | | | | Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-2514-10/+80
|/ / / / / / / / / / /
* | | | | | | | | / / Add "write_smt2 -stbv"Clifford Wolf2017-02-243-49/+179
| |_|_|_|_|_|_|_|/ / |/| | | | | | | | |
* | | | | | | | | | Add SMT2 statebv mode (inactive for now)Clifford Wolf2017-02-241-20/+47
| | | | | | | | | |
* | | | | | | | | | Merge pull request #320 from joshhead/uninstall-binpath-fixClifford Wolf2017-02-241-1/+1
|\ \ \ \ \ \ \ \ \ \ | |_|_|_|_|_|_|_|_|/ |/| | | | | | | | | Add missing slashes in paths for make uninstall
| * | | | | | | | | Add missing slashes in paths for make uninstallJosh Headapohl2017-02-231-1/+1
|/ / / / / / / / / | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Running make uninstall used to fail to remove binaries: rm -vf /usr/local/binyosys /usr/local/binyosys-config #...etc Fix Makefile so that it runs a command like this: rm -vf /usr/local/bin/yosys /usr/local/bin/yosys-config #...etc
* | | | | | | | | Add support for SystemVerilog unique, unique0, and priority caseClifford Wolf2017-02-232-4/+25
| | | | | | | | |
* | | | | | | | | Preserve string parametersClifford Wolf2017-02-231-2/+8
| | | | | | | | |
* | | | | | | | | Fix mingw compile issue (2nd attempt)Clifford Wolf2017-02-231-2/+2
| | | | | | | | |
* | | | | | | | | Fix mingw compile issue (maybe.. I can't test it)Clifford Wolf2017-02-231-2/+2
| | | | | | | | |
* | | | | | | | | Added SystemVerilog support for ++ and --Clifford Wolf2017-02-232-1/+12
| | | | | | | | |