aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/bug2042-sv.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/verilog/bug2042-sv.ys')
-rw-r--r--tests/verilog/bug2042-sv.ys34
1 files changed, 34 insertions, 0 deletions
diff --git a/tests/verilog/bug2042-sv.ys b/tests/verilog/bug2042-sv.ys
new file mode 100644
index 000000000..9a0d419c8
--- /dev/null
+++ b/tests/verilog/bug2042-sv.ys
@@ -0,0 +1,34 @@
+read_verilog -sv <<EOT
+module Task_Test_Top
+(
+input a,
+output b
+);
+
+ task SomeTaskName(a);
+ b = ~a;
+ endtask
+
+ always @*
+ SomeTaskName(a);
+
+ assert property (b == ~a);
+
+endmodule
+EOT
+proc
+sat -verify -prove-asserts
+
+
+design -reset
+logger -expect error "syntax error, unexpected TOK_ENDTASK, expecting ';'" 1
+read_verilog -sv <<EOT
+module Task_Test_Top
+(
+);
+
+ task SomeTaskName(a)
+ endtask
+
+endmodule
+EOT