aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/sv_defines_too_few.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/sv_defines_too_few.ys')
-rw-r--r--tests/various/sv_defines_too_few.ys7
1 files changed, 7 insertions, 0 deletions
diff --git a/tests/various/sv_defines_too_few.ys b/tests/various/sv_defines_too_few.ys
new file mode 100644
index 000000000..295884809
--- /dev/null
+++ b/tests/various/sv_defines_too_few.ys
@@ -0,0 +1,7 @@
+# Check that we don't allow passing too few arguments (and, while we're at it, check that passing "no"
+# arguments actually passes 1 empty argument).
+logger -expect error "Cannot expand macro `foo by giving only 1 argument \(argument 2 has no default\)." 1
+read_verilog <<EOT
+`define foo(x=1, y)
+`foo()
+EOT