aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/sv_defines_mismatch.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/sv_defines_mismatch.ys')
-rw-r--r--tests/various/sv_defines_mismatch.ys5
1 files changed, 5 insertions, 0 deletions
diff --git a/tests/various/sv_defines_mismatch.ys b/tests/various/sv_defines_mismatch.ys
new file mode 100644
index 000000000..ab6e899de
--- /dev/null
+++ b/tests/various/sv_defines_mismatch.ys
@@ -0,0 +1,5 @@
+# Check that we spot mismatched brackets
+logger -expect error "Mismatched brackets in macro argument: \[ and }." 1
+read_verilog <<EOT
+`define foo(x=[1,2})
+EOT