aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/dynamic_part_select/latch_1990.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/dynamic_part_select/latch_1990.v')
-rw-r--r--tests/various/dynamic_part_select/latch_1990.v12
1 files changed, 12 insertions, 0 deletions
diff --git a/tests/various/dynamic_part_select/latch_1990.v b/tests/various/dynamic_part_select/latch_1990.v
new file mode 100644
index 000000000..864c05244
--- /dev/null
+++ b/tests/various/dynamic_part_select/latch_1990.v
@@ -0,0 +1,12 @@
+module latch_1990 #(
+ parameter BUG = 1
+) (
+ (* nowrshmsk = !BUG *)
+ output reg [1:0] x
+);
+ wire z = 0;
+ integer i;
+ always @*
+ for (i = 0; i < 2; i=i+1)
+ x[z^i] = z^i;
+endmodule