aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/abc9.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/abc9.v')
-rw-r--r--tests/various/abc9.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/various/abc9.v b/tests/various/abc9.v
index e53dcdb21..f0b3f6837 100644
--- a/tests/various/abc9.v
+++ b/tests/various/abc9.v
@@ -10,9 +10,9 @@ unknown u(~i, w);
unknown2 u2(w, o);
endmodule
-module abc9_test031(input clk, d, r, output reg q);
+module abc9_test032(input clk, d, r, output reg q);
initial q = 1'b0;
always @(negedge clk or negedge r)
- if (r) q <= 1'b0;
+ if (!r) q <= 1'b0;
else q <= d;
endmodule