aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes/typedef_package.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/svtypes/typedef_package.sv')
-rw-r--r--tests/svtypes/typedef_package.sv5
1 files changed, 4 insertions, 1 deletions
diff --git a/tests/svtypes/typedef_package.sv b/tests/svtypes/typedef_package.sv
index a1e16d4b1..57a78c53a 100644
--- a/tests/svtypes/typedef_package.sv
+++ b/tests/svtypes/typedef_package.sv
@@ -1,11 +1,14 @@
package pkg;
typedef logic [7:0] uint8_t;
+ typedef enum logic [7:0] {bb=8'hBB} enum8_t;
endpackage
module top;
- (* keep *) (pkg::uint8_t) a = 8'hAA;
+ (* keep *) pkg::uint8_t a = 8'hAA;
+ (* keep *) pkg::enum8_t b_enum = pkg::bb;
always @* assert(a == 8'hAA);
+ always @* assert(b_enum == 8'hBB);
endmodule