aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes/typedef_package.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/svtypes/typedef_package.sv')
-rw-r--r--tests/svtypes/typedef_package.sv4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/svtypes/typedef_package.sv b/tests/svtypes/typedef_package.sv
index b766f10cf..57a78c53a 100644
--- a/tests/svtypes/typedef_package.sv
+++ b/tests/svtypes/typedef_package.sv
@@ -5,8 +5,8 @@ endpackage
module top;
- (* keep *) (pkg::uint8_t) a = 8'hAA;
- (* keep *) (pkg::enum8_t) b_enum = pkg::bb;
+ (* keep *) pkg::uint8_t a = 8'hAA;
+ (* keep *) pkg::enum8_t b_enum = pkg::bb;
always @* assert(a == 8'hAA);
always @* assert(b_enum == 8'hBB);