aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes/enum_simple.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/svtypes/enum_simple.sv')
-rw-r--r--tests/svtypes/enum_simple.sv5
1 files changed, 3 insertions, 2 deletions
diff --git a/tests/svtypes/enum_simple.sv b/tests/svtypes/enum_simple.sv
index ccaf50da0..4e4d5871c 100644
--- a/tests/svtypes/enum_simple.sv
+++ b/tests/svtypes/enum_simple.sv
@@ -5,8 +5,9 @@ module enum_simple(input clk, input rst);
typedef enum logic [1:0] {
ts0, ts1, ts2, ts3
} states_t;
- (states_t) state;
- (states_t) enum_const = ts1;
+ states_t state;
+ (states_t) state1;
+ states_t enum_const = ts1;
always @(posedge clk) begin
if (rst) begin