aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple_abc9/abc9.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple_abc9/abc9.v')
-rw-r--r--tests/simple_abc9/abc9.v12
1 files changed, 11 insertions, 1 deletions
diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v
index 65eb01338..99075d319 100644
--- a/tests/simple_abc9/abc9.v
+++ b/tests/simple_abc9/abc9.v
@@ -268,7 +268,7 @@ assign o = { 1'b1, 1'bx };
assign p = { 1'b1, 1'bx, 1'b0 };
endmodule
-module abc9_test029(input clk1, clk2, input d, output reg q1, q2);
+module abc9_test029(input clk1, clk2, d, output reg q1, q2);
always @(posedge clk1) q1 <= d;
always @(negedge clk2) q2 <= q1;
endmodule
@@ -284,3 +284,13 @@ always @(negedge clk or posedge r)
if (r) q <= 1'b1;
else q <= d;
endmodule
+
+module abc9_test033(input clk, d, output reg q1, q2);
+always @(posedge clk) q1 <= d;
+always @(posedge clk) q2 <= q1;
+endmodule
+
+module abc9_test034(input clk, d, output reg [1:0] q);
+always @(posedge clk) q[0] <= d;
+always @(negedge clk) q[1] <= q[0];
+endmodule