aboutsummaryrefslogtreecommitdiffstats
path: root/tests/ecp5/counter.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/ecp5/counter.ys')
-rw-r--r--tests/ecp5/counter.ys11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/ecp5/counter.ys b/tests/ecp5/counter.ys
new file mode 100644
index 000000000..c65c21622
--- /dev/null
+++ b/tests/ecp5/counter.ys
@@ -0,0 +1,11 @@
+read_verilog counter.v
+hierarchy -top top
+proc
+flatten
+equiv_opt -map +/ice40/cells_sim.v synth_ice40 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd top # Constrain all select calls below inside the top module
+select -assert-count 6 t:SB_CARRY
+select -assert-count 8 t:SB_DFFR
+select -assert-count 8 t:SB_LUT4
+select -assert-none t:SB_CARRY t:SB_DFFR t:SB_LUT4 %% t:* %D