aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/common/memory.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/arch/common/memory.v')
-rw-r--r--tests/arch/common/memory.v21
1 files changed, 0 insertions, 21 deletions
diff --git a/tests/arch/common/memory.v b/tests/arch/common/memory.v
deleted file mode 100644
index cb7753f7b..000000000
--- a/tests/arch/common/memory.v
+++ /dev/null
@@ -1,21 +0,0 @@
-module top
-(
- input [7:0] data_a,
- input [6:1] addr_a,
- input we_a, clk,
- output reg [7:0] q_a
-);
- // Declare the RAM variable
- reg [7:0] ram[63:0];
-
- // Port A
- always @ (posedge clk)
- begin
- if (we_a)
- begin
- ram[addr_a] <= data_a;
- q_a <= data_a;
- end
- q_a <= ram[addr_a];
- end
-endmodule