aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common/adff2dff.v
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/common/adff2dff.v')
-rw-r--r--techlibs/common/adff2dff.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/techlibs/common/adff2dff.v b/techlibs/common/adff2dff.v
index eca0110eb..2e4357b64 100644
--- a/techlibs/common/adff2dff.v
+++ b/techlibs/common/adff2dff.v
@@ -11,7 +11,7 @@ module adff2dff (CLK, ARST, D, Q);
(* force_downto *)
output reg [WIDTH-1:0] Q;
(* force_downto *)
- wire reg [WIDTH-1:0] NEXT_Q;
+ reg [WIDTH-1:0] NEXT_Q;
wire [1023:0] _TECHMAP_DO_ = "proc;;";