X(BEL) X(OXIDE_FF) X(CLK) X(CE) X(LSR) X(DI) X(M) X(Q) X(OXIDE_COMB) X(A) X(B) X(C) X(D) X(F) X(FCI) X(FCO) X(SEL) X(F1) X(OFX) X(WAD0) X(WAD1) X(WAD2) X(WAD3) X(WD) X(WCK) X(WRE) X(RAMW) X(A0) X(A1) X(B0) X(B1) X(C0) X(C1) X(D0) X(D1) X(WADO0) X(WADO1) X(WADO2) X(WADO3) X(WCKO) X(WREO) X(WDO0) X(WDO1) X(WDO2) X(WDO3) X(SEIO33_CORE) X(T) X(I) X(O) X(I3CRESEN) X(I3CWKPU) X(SEIO18_CORE) X(DOLP) X(INLP) X(INADC) X(DIFFIO18_CORE) X(HSRXEN) X(HSTXEN) X(LUT4) X(INIT) X(Z) X(WIDEFN9) X(INIT0) X(INIT1) X(INV) X(VHI) X(VLO) X(FD1P3BX) X(FD1P3DX) X(FD1P3IX) X(FD1P3JX) X(CK) X(SP) X(PD) X(CD) X(GSR) X(CCU2) X(CIN) X(COUT) X(S0) X(S1) X(CLKMUX) X(CEMUX) X(LSRMUX) X(REGDDR) X(SRMODE) X(REGSET) X(LSRMODE) X(MODE) X(INJECT) X(PLC) X(CIB) X(CIB_T) X(CIB_LR) X(IO_TYPE) X(OSCA) X(OSC) X(OSC_CORE) X(HFCLKOUT) X(LFCLKOUT) X(HF_CLK_DIV) X(HFOUTEN) X(OXIDE_EBR) X(CLKA) X(CLKB) X(CEA) X(CEB) X(CSA0) X(CSA1) X(CSA2) X(CSB0) X(CSB1) X(CSB2) X(ADA0) X(ADA1) X(ADA2) X(ADA3) X(ADB0) X(ADB1) X(WEA) X(WEB) X(RSTA) X(RSTB) X(LOC) X(IB) X(OB) X(OBZ) X(BB) X(BB_I3C_A) X(SEIO33) X(SEIO18) X(DIFFIO18) X(IOPAD) X(PADDO) X(PADDI) X(PADDT)