set_property PACKAGE_PIN A8 [get_ports i0] set_property PACKAGE_PIN C11 [get_ports i1] set_property PACKAGE_PIN H5 [get_ports o] set_property IOSTANDARD LVCMOS33 [get_ports i0] set_property IOSTANDARD LVCMOS33 [get_ports i1] set_property IOSTANDARD LVCMOS33 [get_ports o]