set_property PACKAGE_PIN E3 [get_ports clk] set_property PACKAGE_PIN A8 [get_ports d] set_property PACKAGE_PIN D9 [get_ports r] set_property PACKAGE_PIN H5 [get_ports q] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports d] set_property IOSTANDARD LVCMOS33 [get_ports r] set_property IOSTANDARD LVCMOS33 [get_ports q]