set_property PACKAGE_PIN N16 [get_ports i0] set_property PACKAGE_PIN N15 [get_ports i1] set_property PACKAGE_PIN M17 [get_ports o] #set_property IOSTANDARD LVCMOS33 [get_ports]