set_property PACKAGE_PIN P17 [get_ports clk] set_property PACKAGE_PIN N15 [get_ports d] set_property PACKAGE_PIN N16 [get_ports r] set_property PACKAGE_PIN M17 [get_ports q] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports d] set_property IOSTANDARD LVCMOS33 [get_ports r] set_property IOSTANDARD LVCMOS33 [get_ports q]