From 1a3d0f2f5dd763e08a237d53e92d775704f46f01 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Wed, 13 Jun 2018 17:38:34 +0200 Subject: Add picorv32_top module with fewer IO pins Signed-off-by: Clifford Wolf --- ice40/picorv32.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'ice40/picorv32.sh') diff --git a/ice40/picorv32.sh b/ice40/picorv32.sh index 9d171e76..2c67f641 100755 --- a/ice40/picorv32.sh +++ b/ice40/picorv32.sh @@ -2,5 +2,5 @@ set -ex rm -f picorv32.v wget https://raw.githubusercontent.com/cliffordwolf/picorv32/master/picorv32.v -yosys -p 'synth_ice40 -nocarry -json picorv32.json -top picorv32' picorv32.v +yosys -p 'synth_ice40 -nocarry -json picorv32.json -top top' picorv32.v picorv32_top.v ../nextpnr-ice40 --hx8k --asc picorv32.asc --json picorv32.json -- cgit v1.2.3