From 841d126672112e65450322c5f905bbf22b7dfa54 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 26 Jun 2018 16:04:10 +0200 Subject: CarryInSet added to bitstream gen, add counter tb Signed-off-by: David Shah --- ice40/carry_tests/counter_tb.v | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100644 ice40/carry_tests/counter_tb.v (limited to 'ice40/carry_tests/counter_tb.v') diff --git a/ice40/carry_tests/counter_tb.v b/ice40/carry_tests/counter_tb.v new file mode 100644 index 00000000..ede133a7 --- /dev/null +++ b/ice40/carry_tests/counter_tb.v @@ -0,0 +1,23 @@ +module counter_tb; + reg clk; + always #5 clk = (clk === 1'b0); + + wire outa, outb, outc, outd; + + chip uut ( + .clk(clk), + .cen(1'b1), + .rst(1'b0), + .outa(outa), + .outb(outb), + .outc(outc), + .outd(outd) + ); + + initial begin + $dumpfile("counter_tb.vcd"); + $dumpvars(0, counter_tb); + repeat (100000) @(posedge clk); + $finish; + end +endmodule -- cgit v1.2.3