From 4694c6aae7656b22fa94db9a252ed046faf40244 Mon Sep 17 00:00:00 2001 From: David Shah Date: Wed, 13 Jun 2018 12:55:08 +0200 Subject: ice40: Update examples to use packer/pcf Signed-off-by: David Shah --- ice40/blinky.ys | 8 +------- 1 file changed, 1 insertion(+), 7 deletions(-) (limited to 'ice40/blinky.ys') diff --git a/ice40/blinky.ys b/ice40/blinky.ys index 9c51dc88..bad0a8b4 100644 --- a/ice40/blinky.ys +++ b/ice40/blinky.ys @@ -1,9 +1,3 @@ read_verilog blinky.v -read_verilog -lib +/ice40/cells_sim.v -synth -top blinky -abc -lut 4 -techmap -map blinky_map.v -splitnets -opt_clean -stat +synth_ice40 -top blinky -nocarry write_json blinky.json -- cgit v1.2.3