From 172ceef3775d60388f4ce151d0fbda60fd9c9a42 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sat, 30 Jun 2018 08:00:51 +0200 Subject: Improve blinky testbench, double blink frequency Signed-off-by: Clifford Wolf --- ice40/blinky.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'ice40/blinky.v') diff --git a/ice40/blinky.v b/ice40/blinky.v index a45021d2..36eaee86 100644 --- a/ice40/blinky.v +++ b/ice40/blinky.v @@ -13,7 +13,7 @@ module blinky ( ); localparam BITS = 5; - localparam LOG2DELAY = 22; + localparam LOG2DELAY = 21; reg [BITS+LOG2DELAY-1:0] counter = 0; reg [BITS-1:0] outcnt; -- cgit v1.2.3