From 602e6fab1e1d90a00b868733953a0d25632d8414 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 10 Jun 2018 16:31:06 +0200 Subject: Add support for iCE40 global buffers (currently only for 1k devices) Signed-off-by: Clifford Wolf --- ice40/blinky.sh | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) (limited to 'ice40/blinky.sh') diff --git a/ice40/blinky.sh b/ice40/blinky.sh index 8a445373..23ee2cac 100644 --- a/ice40/blinky.sh +++ b/ice40/blinky.sh @@ -2,6 +2,7 @@ set -ex yosys blinky.ys ../nextpnr-ice40 --json blinky.json --asc blinky.asc +icepack blinky.asc blinky.bin icebox_vlog blinky.asc > blinky_chip.v iverilog -o blinky_tb blinky_chip.v blinky_tb.v -./blinky_tb +vvp -N ./blinky_tb -- cgit v1.2.3