From bd2da27e4e35e92ad91145921cf9c7d2c490a9df Mon Sep 17 00:00:00 2001 From: Alessandro Comodi Date: Fri, 12 Mar 2021 19:03:02 +0100 Subject: fpga_interchange: tests: added comment and fixed XDC Signed-off-by: Alessandro Comodi --- fpga_interchange/examples/tests/const_wire/wire_arty.xdc | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100644 fpga_interchange/examples/tests/const_wire/wire_arty.xdc (limited to 'fpga_interchange/examples/tests/const_wire/wire_arty.xdc') diff --git a/fpga_interchange/examples/tests/const_wire/wire_arty.xdc b/fpga_interchange/examples/tests/const_wire/wire_arty.xdc new file mode 100644 index 00000000..0d96fc45 --- /dev/null +++ b/fpga_interchange/examples/tests/const_wire/wire_arty.xdc @@ -0,0 +1,9 @@ +set_property PACKAGE_PIN N15 [get_ports o] +set_property PACKAGE_PIN N16 [get_ports o2] +set_property PACKAGE_PIN P17 [get_ports o3] +set_property PACKAGE_PIN R17 [get_ports o4] + +set_property IOSTANDARD LVCMOS33 [get_ports o] +set_property IOSTANDARD LVCMOS33 [get_ports o2] +set_property IOSTANDARD LVCMOS33 [get_ports o3] +set_property IOSTANDARD LVCMOS33 [get_ports o4] -- cgit v1.2.3