aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/xdc.cc
Commit message (Collapse)AuthorAgeFilesLines
* Refactor header structures in FPGA interchange Arch.Keith Rothman2021-03-191-1/+7
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Run "make clangformat".Keith Rothman2021-02-121-58/+44
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Refactor XDC parser into a little class for testing purposes.Keith Rothman2021-02-121-14/+9
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add unknown handles to convert [0] to "[0]".Keith Rothman2021-02-121-0/+11
| | | | | | | | Tcl reads something like "set port [get_ports x[0]]" as "invoke proc 0 with zero arguments", rather than just "[0]". To prevent exposing non-Tcl users this, "[<number>]" just return themselves. Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add FPGA interchange XDC parser.Keith Rothman2021-02-121-0/+160
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>