aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/pack.cc
Commit message (Collapse)AuthorAgeFilesLines
...
* ecp5: Use an attribute to store is_globalDavid Shah2019-06-071-2/+6
| | | | Signed-off-by: David Shah <dave@ds0.me>
* WIP saving/loading attributesMiodrag Milanovic2019-06-071-0/+1
|
* Added support for attributes/properties typesMiodrag Milanovic2019-06-011-1/+1
|
* ecp5: Fix USRMCLK primitiveDavid Shah2019-05-101-0/+14
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: DELAY fixesDavid Shah2019-02-251-11/+10
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Improve packing densityDavid Shah2019-02-251-0/+58
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add criticality-based LUT permutationDavid Shah2019-02-251-0/+1
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Speed up timing analysisDavid Shah2019-02-251-0/+3
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: DELAYF/G fixesDavid Shah2019-02-241-2/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Connect unused DQSBUF inputs to GNDDavid Shah2019-02-241-14/+30
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Compute derived constraints iterativelyDavid Shah2019-02-241-52/+79
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Derived constraint support for PLLs, clock dividers and oscillatorsDavid Shah2019-02-241-0/+115
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Fixes for litedramDavid Shah2019-02-241-0/+5
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Add DELAYF/DELAYG supportDavid Shah2019-02-241-1/+122
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Add DDRDLLA supportDavid Shah2019-02-241-0/+30
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add ECLKSYNCB supportDavid Shah2019-02-241-2/+23
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add TSHX2DQSA supportDavid Shah2019-02-241-4/+5
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add TSHX2DQA supportDavid Shah2019-02-241-1/+30
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add IDDRX2DQA supportDavid Shah2019-02-241-0/+30
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add ODDRX2DQSB suppportDavid Shah2019-02-241-4/+4
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add ODDRX2DQA supportDavid Shah2019-02-241-3/+36
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Helper functions and bitstream for DQSDavid Shah2019-02-241-0/+33
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Constraint checker and placer for DQSBUFMDavid Shah2019-02-241-1/+57
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add OSHX2A supportDavid Shah2019-02-241-0/+26
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add IDDRX2F supportDavid Shah2019-02-241-2/+25
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Packing of ODDRX2FDavid Shah2019-02-241-5/+116
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Helper functions for DQS and ECLKDavid Shah2019-02-241-1/+107
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Check for incorrect use of TRELLIS_IO 'B' pinDavid Shah2018-12-251-0/+9
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Fix ODDR when used with manually instantiated TRELLIS_IODavid Shah2018-12-191-0/+4
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Fix IOLOGIC ports at the same constant valueDavid Shah2018-12-151-2/+12
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Add IOLOGIC timing and bitstream; ODDR workingDavid Shah2018-12-141-24/+31
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add ODDR packingDavid Shah2018-12-141-0/+19
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Adding IOLOGIC packingDavid Shah2018-12-141-0/+98
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Pre-place PLLs and use dedicated routes into globalsDavid Shah2018-11-301-0/+55
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add support for LUT7 muxDavid Shah2018-11-181-6/+116
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: More optimal LUT6 placementDavid Shah2018-11-161-1/+4
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Adding mux support up to LUT6David Shah2018-11-161-4/+46
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Support LOC attribute on DCUsDavid Shah2018-11-151-1/+25
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: EXTREFB fixesDavid Shah2018-11-151-1/+3
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: clangformatDavid Shah2018-11-151-13/+14
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Trim IO connected to top level portsDavid Shah2018-11-151-15/+73
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Adding ancillary DCU belsDavid Shah2018-11-151-0/+32
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: remove debug and clangformatDavid Shah2018-11-151-1/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Working on DCUDavid Shah2018-11-151-0/+22
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Separate global promotion and routingDavid Shah2018-10-311-0/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Add IO buffer insertionDavid Shah2018-10-311-2/+8
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: DSP fixesDavid Shah2018-10-221-1/+3
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: Working on DSPsDavid Shah2018-10-221-1/+52
| | | | Signed-off-by: David Shah <davey1576@gmail.com>
* ecp5: BRAM improvements with constant/inverted inputsDavid Shah2018-10-061-0/+17
| | | | Signed-off-by: David Shah <dave@ds0.me>
* ecp5: Fixing EBR constant tie-offsDavid Shah2018-10-051-0/+49
| | | | Signed-off-by: David Shah <dave@ds0.me>