diff options
Diffstat (limited to 'ice40/examples/floorplan')
-rwxr-xr-x | ice40/examples/floorplan/floorplan.sh | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/ice40/examples/floorplan/floorplan.sh b/ice40/examples/floorplan/floorplan.sh index e0ed7a64..49461f8d 100755 --- a/ice40/examples/floorplan/floorplan.sh +++ b/ice40/examples/floorplan/floorplan.sh @@ -1,6 +1,6 @@ #!/usr/bin/env bash set -ex yosys -p "synth_ice40 -top top -json floorplan.json" floorplan.v -../../../nextpnr-ice40 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py +../../../nextpnr-ice40 --package sg48 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py icepack floorplan.asc floorplan.bin iceprog floorplan.bin |