aboutsummaryrefslogtreecommitdiffstats
path: root/generic/synth
diff options
context:
space:
mode:
Diffstat (limited to 'generic/synth')
-rw-r--r--generic/synth/blink.v9
-rw-r--r--generic/synth/synth_generic.tcl2
2 files changed, 1 insertions, 10 deletions
diff --git a/generic/synth/blink.v b/generic/synth/blink.v
deleted file mode 100644
index b7cb1b86..00000000
--- a/generic/synth/blink.v
+++ /dev/null
@@ -1,9 +0,0 @@
-module top(input clk, output reg [7:0] leds);
-
-reg [25:0] ctr;
-always @(posedge clk)
- ctr <= ctr + 1'b1;
-
-assign leds = ctr[25:18];
-
-endmodule \ No newline at end of file
diff --git a/generic/synth/synth_generic.tcl b/generic/synth/synth_generic.tcl
index c5950788..e5d88e0d 100644
--- a/generic/synth/synth_generic.tcl
+++ b/generic/synth/synth_generic.tcl
@@ -14,7 +14,7 @@ yosys memory_map
yosys opt -full
yosys techmap -map +/techmap.v
yosys opt -fast
-yosys abc -lut $LUT_K
+yosys abc -lut $LUT_K -dress
yosys clean
yosys techmap -D LUT_K=$LUT_K -map [file dirname [file normalize $argv0]]/cells_map.v
yosys clean