aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/counter/lifcl40evn.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/counter/lifcl40evn.xdc')
-rw-r--r--fpga_interchange/examples/tests/counter/lifcl40evn.xdc13
1 files changed, 13 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/counter/lifcl40evn.xdc b/fpga_interchange/examples/tests/counter/lifcl40evn.xdc
new file mode 100644
index 00000000..4f378aef
--- /dev/null
+++ b/fpga_interchange/examples/tests/counter/lifcl40evn.xdc
@@ -0,0 +1,13 @@
+set_property PACKAGE_PIN L13 [get_ports clk]
+set_property PACKAGE_PIN G19 [get_ports rst]
+set_property PACKAGE_PIN E17 [get_ports io_led[4]]
+set_property PACKAGE_PIN F13 [get_ports io_led[5]]
+set_property PACKAGE_PIN G13 [get_ports io_led[6]]
+set_property PACKAGE_PIN F14 [get_ports io_led[7]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports rst]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]