aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/blinky_nopack.ys
diff options
context:
space:
mode:
Diffstat (limited to 'ecp5/synth/blinky_nopack.ys')
-rw-r--r--ecp5/synth/blinky_nopack.ys2
1 files changed, 0 insertions, 2 deletions
diff --git a/ecp5/synth/blinky_nopack.ys b/ecp5/synth/blinky_nopack.ys
deleted file mode 100644
index fb359380..00000000
--- a/ecp5/synth/blinky_nopack.ys
+++ /dev/null
@@ -1,2 +0,0 @@
-read_verilog blinky.v
-synth_ecp5 -noccu2 -nomux -nodram -json blinky.json