diff options
Diffstat (limited to 'ice40/regressions/issue0148/hdl/nexys4.xdc')
-rw-r--r-- | ice40/regressions/issue0148/hdl/nexys4.xdc | 50 |
1 files changed, 50 insertions, 0 deletions
diff --git a/ice40/regressions/issue0148/hdl/nexys4.xdc b/ice40/regressions/issue0148/hdl/nexys4.xdc new file mode 100644 index 0000000..381b838 --- /dev/null +++ b/ice40/regressions/issue0148/hdl/nexys4.xdc @@ -0,0 +1,50 @@ + +##Bank = 35, Pin name = IO_L12P_T1_MRCC_35, Sch name = CLK100MHZ +set_property PACKAGE_PIN E3 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports clk] + +# 100MHz +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] + +# 250MHz +#create_clock -add -name sys_clk_pin -period 4.00 -waveform {0 2} [get_ports clk] + +# 200MHz +#create_clock -add -name sys_clk_pin -period 5.00 -waveform {0 2.5} [get_ports clk] + +# 150MHz +#create_clock -add -name sys_clk_pin -period 6.6666 -waveform {0 3.3333} [get_ports clk] + +set_property PACKAGE_PIN T8 [get_ports {led[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] +set_property PACKAGE_PIN V9 [get_ports {led[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] +set_property PACKAGE_PIN R8 [get_ports {led[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}] +set_property PACKAGE_PIN T6 [get_ports {led[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}] +set_property PACKAGE_PIN T5 [get_ports {led[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}] +set_property PACKAGE_PIN T4 [get_ports {led[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}] +set_property PACKAGE_PIN U7 [get_ports {led[6]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}] +set_property PACKAGE_PIN U6 [get_ports {led[7]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}] +set_property PACKAGE_PIN V4 [get_ports {led[8]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}] +set_property PACKAGE_PIN U3 [get_ports {led[9]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}] +set_property PACKAGE_PIN V1 [get_ports {led[10]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}] +set_property PACKAGE_PIN R1 [get_ports {led[11]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}] +set_property PACKAGE_PIN P5 [get_ports {led[12]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}] +set_property PACKAGE_PIN U1 [get_ports {led[13]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}] +set_property PACKAGE_PIN R2 [get_ports {led[14]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}] +set_property PACKAGE_PIN P2 [get_ports {led[15]}] +set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}] + |