From 420ef041b6d633772a2abf9004f8da4af7962b77 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 22 Jan 2018 17:03:16 +0000 Subject: More DSP timing fuzzing, start adding new tiles to icetime --- icefuzz/timings_up5k.txt | 4606 ++++++++++++++++++++++++++++++++++------------ 1 file changed, 3412 insertions(+), 1194 deletions(-) (limited to 'icefuzz/timings_up5k.txt') diff --git a/icefuzz/timings_up5k.txt b/icefuzz/timings_up5k.txt index 550074c..07e7a61 100644 --- a/icefuzz/timings_up5k.txt +++ b/icefuzz/timings_up5k.txt @@ -599,7 +599,7 @@ IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142. IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 -CELL SB_MAC16_ACC_U_32P32_ALL_PIPELINE +CELL SB_MAC16_ACC_U_16P16_BYPASS HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -617,7 +617,7 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 @@ -653,7 +653,6 @@ HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 -HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 @@ -692,7 +691,7 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 @@ -728,7 +727,6 @@ HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 -HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 @@ -742,16 +740,16 @@ HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 +HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 @@ -766,199 +764,225 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 -SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 -SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 -SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 +SETUP negedge:A[0] posedge:CLK 1574.37:1574.37:1574.37 +SETUP negedge:A[1] posedge:CLK 1676.94:1676.94:1676.94 +SETUP negedge:A[2] posedge:CLK 1686.38:1686.38:1686.38 +SETUP negedge:A[3] posedge:CLK 1718.39:1718.39:1718.39 +SETUP negedge:A[4] posedge:CLK 1819.53:1819.53:1819.53 +SETUP negedge:A[5] posedge:CLK 1908.04:1908.04:1908.04 +SETUP negedge:A[6] posedge:CLK 1819.68:1819.68:1819.68 +SETUP negedge:A[7] posedge:CLK 1848.48:1848.48:1848.48 +SETUP negedge:A[8] posedge:CLK 1532.57:1532.57:1532.57 +SETUP negedge:A[9] posedge:CLK 1702.81:1702.81:1702.81 +SETUP negedge:A[10] posedge:CLK 1634.61:1634.61:1634.61 +SETUP negedge:A[11] posedge:CLK 1639.84:1639.84:1639.84 +SETUP negedge:A[12] posedge:CLK 1710.5:1710.5:1710.5 +SETUP negedge:A[13] posedge:CLK 1736.25:1736.25:1736.25 +SETUP negedge:A[14] posedge:CLK 1755.84:1755.84:1755.84 +SETUP negedge:A[15] posedge:CLK 1769.23:1769.23:1769.23 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 -SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:B[0] posedge:CLK 1830.18:1830.18:1830.18 +SETUP negedge:B[1] posedge:CLK 1969.4:1969.4:1969.4 +SETUP negedge:B[2] posedge:CLK 1895.14:1895.14:1895.14 +SETUP negedge:B[3] posedge:CLK 1989.46:1989.46:1989.46 +SETUP negedge:B[4] posedge:CLK 1809.26:1809.26:1809.26 +SETUP negedge:B[5] posedge:CLK 1922.6:1922.6:1922.6 +SETUP negedge:B[6] posedge:CLK 1744.86:1744.86:1744.86 +SETUP negedge:B[7] posedge:CLK 1844.86:1844.86:1844.86 +SETUP negedge:B[8] posedge:CLK 1784.65:1784.65:1784.65 +SETUP negedge:B[9] posedge:CLK 2011.33:2011.33:2011.33 +SETUP negedge:B[10] posedge:CLK 1888.62:1888.62:1888.62 +SETUP negedge:B[11] posedge:CLK 2052.77:2052.77:2052.77 +SETUP negedge:B[12] posedge:CLK 1868.01:1868.01:1868.01 +SETUP negedge:B[13] posedge:CLK 1912.68:1912.68:1912.68 +SETUP negedge:B[14] posedge:CLK 1687.7:1687.7:1687.7 +SETUP negedge:B[15] posedge:CLK 1855.75:1855.75:1855.75 SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:C[0] posedge:CLK 171.761:171.761:171.761 +SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 +SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 +SETUP negedge:C[3] posedge:CLK 138.86:138.86:138.86 +SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 +SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 +SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 +SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:D[0] posedge:CLK 219.957:219.957:219.957 +SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 +SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 +SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 +SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 +SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 +SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 +SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 +SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 +SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 +SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 +SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 +SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 +SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 -SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 -SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 -SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 -SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 -SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 -SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 -SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 -SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 -SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 -SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 -SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 -SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 +SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 +SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 +SETUP negedge:OLOADBOT posedge:CLK 343.244:343.244:343.244 +SETUP negedge:OLOADTOP posedge:CLK 278.585:278.585:278.585 +SETUP posedge:A[0] posedge:CLK 1556.35:1556.35:1556.35 +SETUP posedge:A[1] posedge:CLK 1661.81:1661.81:1661.81 +SETUP posedge:A[2] posedge:CLK 1683.33:1683.33:1683.33 +SETUP posedge:A[3] posedge:CLK 1703.43:1703.43:1703.43 +SETUP posedge:A[4] posedge:CLK 1796.34:1796.34:1796.34 +SETUP posedge:A[5] posedge:CLK 1960.79:1960.79:1960.79 +SETUP posedge:A[6] posedge:CLK 1810.74:1810.74:1810.74 +SETUP posedge:A[7] posedge:CLK 1833.36:1833.36:1833.36 +SETUP posedge:A[8] posedge:CLK 1530.44:1530.44:1530.44 +SETUP posedge:A[9] posedge:CLK 1700.76:1700.76:1700.76 +SETUP posedge:A[10] posedge:CLK 1627.23:1627.23:1627.23 +SETUP posedge:A[11] posedge:CLK 1661.81:1661.81:1661.81 +SETUP posedge:A[12] posedge:CLK 1737.18:1737.18:1737.18 +SETUP posedge:A[13] posedge:CLK 1782.81:1782.81:1782.81 +SETUP posedge:A[14] posedge:CLK 1804.37:1804.37:1804.37 +SETUP posedge:A[15] posedge:CLK 1817.18:1817.18:1817.18 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.02:1414.02:1414.02 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 -SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 -SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 -SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 -SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 -SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:B[0] posedge:CLK 1930.8:1930.8:1930.8 +SETUP posedge:B[1] posedge:CLK 2084.86:2084.86:2084.86 +SETUP posedge:B[2] posedge:CLK 2006.63:2006.63:2006.63 +SETUP posedge:B[3] posedge:CLK 2049.9:2049.9:2049.9 +SETUP posedge:B[4] posedge:CLK 1925.27:1925.27:1925.27 +SETUP posedge:B[5] posedge:CLK 2023.7:2023.7:2023.7 +SETUP posedge:B[6] posedge:CLK 1828.33:1828.33:1828.33 +SETUP posedge:B[7] posedge:CLK 1944.23:1944.23:1944.23 +SETUP posedge:B[8] posedge:CLK 1860.3:1860.3:1860.3 +SETUP posedge:B[9] posedge:CLK 2056.41:2056.41:2056.41 +SETUP posedge:B[10] posedge:CLK 1976.05:1976.05:1976.05 +SETUP posedge:B[11] posedge:CLK 2022.13:2022.13:2022.13 +SETUP posedge:B[12] posedge:CLK 1922.54:1922.54:1922.54 +SETUP posedge:B[13] posedge:CLK 1931.69:1931.69:1931.69 +SETUP posedge:B[14] posedge:CLK 1728.21:1728.21:1728.21 +SETUP posedge:B[15] posedge:CLK 2081.46:2081.46:2081.46 SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 +SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 +SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 +SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 +SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 +SETUP posedge:C[15] posedge:CLK 132.912:132.912:132.912 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:D[0] posedge:CLK 194.203:194.203:194.203 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 +SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 +SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 +SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 +SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 +SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 +SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 +SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 +SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 -IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 -IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 -IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 -IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 -IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 -IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 +SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 +IOPATH A[0] ACCUMCO 1475.35:1475.35:1475.35 1934.41:1934.41:1934.41 +IOPATH A[0] CO 2047.76:2047.76:2047.76 2480.4:2480.4:2480.4 +IOPATH A[1] ACCUMCO 1714.57:1714.57:1714.57 1994.74:1994.74:1994.74 +IOPATH A[1] CO 2286.97:2286.97:2286.97 2540.73:2540.73:2540.73 +IOPATH A[2] ACCUMCO 1652.4:1652.4:1652.4 1867.19:1867.19:1867.19 +IOPATH A[2] CO 2224.81:2224.81:2224.81 2413.18:2413.18:2413.18 +IOPATH A[3] ACCUMCO 1565.21:1565.21:1565.21 1796.17:1796.17:1796.17 +IOPATH A[3] CO 2137.61:2137.61:2137.61 2342.15:2342.15:2342.15 +IOPATH A[4] ACCUMCO 1603.79:1603.79:1603.79 2005.48:2005.48:2005.48 +IOPATH A[4] CO 2176.19:2176.19:2176.19 2551.46:2551.46:2551.46 +IOPATH A[5] ACCUMCO 1541.89:1541.89:1541.89 1839.14:1839.14:1839.14 +IOPATH A[5] CO 2114.29:2114.29:2114.29 2385.13:2385.13:2385.13 +IOPATH A[6] ACCUMCO 1688.15:1688.15:1688.15 1939.64:1939.64:1939.64 +IOPATH A[6] CO 2260.55:2260.55:2260.55 2485.63:2485.63:2485.63 +IOPATH A[7] ACCUMCO 1614.5:1614.5:1614.5 1860.55:1860.55:1860.55 +IOPATH A[7] CO 2186.9:2186.9:2186.9 2406.54:2406.54:2406.54 +IOPATH A[8] ACCUMCO 1574.92:1574.92:1574.92 1835.69:1835.69:1835.69 +IOPATH A[8] CO 2147.33:2147.33:2147.33 2381.67:2381.67:2381.67 +IOPATH A[9] ACCUMCO 1699.8:1699.8:1699.8 1958.06:1958.06:1958.06 +IOPATH A[9] CO 2272.2:2272.2:2272.2 2504.04:2504.04:2504.04 +IOPATH A[10] ACCUMCO 1580.63:1580.63:1580.63 1733.85:1733.85:1733.85 +IOPATH A[10] CO 2153.03:2153.03:2153.03 2279.83:2279.83:2279.83 +IOPATH A[11] ACCUMCO 1431.09:1431.09:1431.09 1593.46:1593.46:1593.46 +IOPATH A[11] CO 2003.49:2003.49:2003.49 2139.45:2139.45:2139.45 +IOPATH A[12] ACCUMCO 1295.31:1295.31:1295.31 1626.47:1626.47:1626.47 +IOPATH A[12] CO 1867.71:1867.71:1867.71 2172.46:2172.46:2172.46 +IOPATH A[13] ACCUMCO 1379.45:1379.45:1379.45 1661.08:1661.08:1661.08 +IOPATH A[13] CO 1951.85:1951.85:1951.85 2207.06:2207.06:2207.06 +IOPATH A[14] ACCUMCO 1429.38:1429.38:1429.38 1649.43:1649.43:1649.43 +IOPATH A[14] CO 2001.79:2001.79:2001.79 2195.42:2195.42:2195.42 +IOPATH A[15] ACCUMCO 1508.68:1508.68:1508.68 1808.14:1808.14:1808.14 +IOPATH A[15] CO 2081.09:2081.09:2081.09 2354.13:2354.13:2354.13 +IOPATH A[15] SIGNEXTOUT 885.756:885.756:885.756 834.238:834.238:834.238 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH posedge:CLK ACCUMCO 2021.43:2021.43:2021.43 2326.07:2326.07:2326.07 +IOPATH posedge:CLK CO 2593.83:2593.83:2593.83 2872.06:2872.06:2872.06 +IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 +IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 +IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 +IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 +IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 +IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 +IOPATH posedge:CLK O[19] 1381.9:1381.9:1381.9 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 +IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.33:1239.33:1239.33 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -CELL SB_MAC16_ADS_U_16P16_ALL_PIPELINE +CELL SB_MAC16_ACC_U_32P32_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -975,7 +999,7 @@ HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 @@ -1012,6 +1036,7 @@ HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 @@ -1049,7 +1074,7 @@ HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 @@ -1086,6 +1111,7 @@ HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 @@ -1139,8 +1165,8 @@ SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 +SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 @@ -1176,6 +1202,7 @@ SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 @@ -1196,7 +1223,7 @@ SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 -SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 +SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 @@ -1213,8 +1240,8 @@ SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.15:1413.15:1413.15 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.3:1414.3:1414.3 +SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 +SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 @@ -1250,6 +1277,7 @@ SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 @@ -1271,162 +1299,940 @@ SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 -IOPATH ADDSUBTOP ACCUMCO 1601.76:1601.76:1601.76 1891.36:1891.36:1891.36 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.86:2654.86:2654.86 -IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.84:3200.84:3200.84 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 +IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 +IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 +IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 +IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 +IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 +IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 -CELL SB_MAC16_ADS_U_16P16_BYPASS -IOPATH A[0] ACCUMCO 1466.97:1466.97:1466.97 1922.71:1922.71:1922.71 -IOPATH A[0] CO 2039.37:2039.37:2039.37 2468.69:2468.69:2468.69 -IOPATH A[0] O[16] 1804.58:1804.58:1804.58 2067.43:2067.43:2067.43 -IOPATH A[0] O[17] 1903.97:1903.97:1903.97 2127.66:2127.66:2127.66 -IOPATH A[0] O[18] 2034.9:2034.9:2034.9 2285.35:2285.35:2285.35 -IOPATH A[0] O[19] 2213.43:2213.43:2213.43 2404.48:2404.48:2404.48 -IOPATH A[0] O[20] 2402.63:2402.63:2402.63 2573.81:2573.81:2573.81 -IOPATH A[0] O[21] 2338.71:2338.71:2338.71 2504.24:2504.24:2504.24 -IOPATH A[0] O[22] 2504.47:2504.47:2504.47 2710.4:2710.4:2710.4 -IOPATH A[0] O[23] 2564.59:2564.59:2564.59 2741.5:2741.5:2741.5 -IOPATH A[0] O[24] 2481.88:2481.88:2481.88 2639.31:2639.31:2639.31 -IOPATH A[0] O[25] 2594.81:2594.81:2594.81 2777.77:2777.77:2777.77 -IOPATH A[0] O[26] 2757.7:2757.7:2757.7 2957.98:2957.98:2957.98 -IOPATH A[0] O[27] 2748.66:2748.66:2748.66 2913.12:2913.12:2913.12 -IOPATH A[0] O[28] 2679.46:2679.46:2679.46 2837.02:2837.02:2837.02 -IOPATH A[0] O[29] 2764.62:2764.62:2764.62 2925.15:2925.15:2925.15 -IOPATH A[0] O[30] 2831.61:2831.61:2831.61 2961.6:2961.6:2961.6 -IOPATH A[0] O[31] 2882.02:2882.02:2882.02 2972.14:2972.14:2972.14 -IOPATH A[1] ACCUMCO 1705.18:1705.18:1705.18 1980.47:1980.47:1980.47 -IOPATH A[1] CO 2277.58:2277.58:2277.58 2526.46:2526.46:2526.46 -IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24 -IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59 -IOPATH A[1] O[19] 2268.44:2268.44:2268.44 2444.21:2444.21:2444.21 -IOPATH A[1] O[20] 2460.4:2460.4:2460.4 2631.58:2631.58:2631.58 -IOPATH A[1] O[21] 2396.48:2396.48:2396.48 2562.01:2562.01:2562.01 -IOPATH A[1] O[22] 2562.23:2562.23:2562.23 2768.17:2768.17:2768.17 -IOPATH A[1] O[23] 2622.36:2622.36:2622.36 2799.27:2799.27:2799.27 -IOPATH A[1] O[24] 2539.65:2539.65:2539.65 2697.08:2697.08:2697.08 -IOPATH A[1] O[25] 2652.58:2652.58:2652.58 2835.54:2835.54:2835.54 -IOPATH A[1] O[26] 2815.47:2815.47:2815.47 3015.75:3015.75:3015.75 -IOPATH A[1] O[27] 2806.43:2806.43:2806.43 2970.88:2970.88:2970.88 -IOPATH A[1] O[28] 2737.23:2737.23:2737.23 2894.79:2894.79:2894.79 -IOPATH A[1] O[29] 2822.39:2822.39:2822.39 2982.92:2982.92:2982.92 -IOPATH A[1] O[30] 2889.38:2889.38:2889.38 3019.37:3019.37:3019.37 -IOPATH A[1] O[31] 2939.79:2939.79:2939.79 3029.91:3029.91:3029.91 -IOPATH A[2] ACCUMCO 1648.18:1648.18:1648.18 1862.04:1862.04:1862.04 -IOPATH A[2] CO 2220.58:2220.58:2220.58 2408.03:2408.03:2408.03 -IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45 -IOPATH A[2] O[19] 2135.26:2135.26:2135.26 2311.03:2311.03:2311.03 -IOPATH A[2] O[20] 2356.23:2356.23:2356.23 2513.15:2513.15:2513.15 -IOPATH A[2] O[21] 2280.28:2280.28:2280.28 2443.58:2443.58:2443.58 -IOPATH A[2] O[22] 2443.8:2443.8:2443.8 2649.74:2649.74:2649.74 -IOPATH A[2] O[23] 2503.93:2503.93:2503.93 2680.84:2680.84:2680.84 -IOPATH A[2] O[24] 2421.21:2421.21:2421.21 2578.64:2578.64:2578.64 -IOPATH A[2] O[25] 2534.15:2534.15:2534.15 2717.11:2717.11:2717.11 -IOPATH A[2] O[26] 2697.03:2697.03:2697.03 2897.32:2897.32:2897.32 -IOPATH A[2] O[27] 2688:2688:2688 2852.45:2852.45:2852.45 -IOPATH A[2] O[28] 2618.8:2618.8:2618.8 2776.36:2776.36:2776.36 -IOPATH A[2] O[29] 2703.95:2703.95:2703.95 2864.49:2864.49:2864.49 -IOPATH A[2] O[30] 2770.95:2770.95:2770.95 2900.94:2900.94:2900.94 -IOPATH A[2] O[31] 2821.36:2821.36:2821.36 2911.48:2911.48:2911.48 -IOPATH A[3] ACCUMCO 1558.54:1558.54:1558.54 1788.54:1788.54:1788.54 -IOPATH A[3] CO 2130.94:2130.94:2130.94 2334.53:2334.53:2334.53 -IOPATH A[3] O[19] 1985.49:1985.49:1985.49 2161.26:2161.26:2161.26 -IOPATH A[3] O[20] 2268.95:2268.95:2268.95 2440.13:2440.13:2440.13 -IOPATH A[3] O[21] 2205.03:2205.03:2205.03 2370.56:2370.56:2370.56 -IOPATH A[3] O[22] 2370.78:2370.78:2370.78 2576.72:2576.72:2576.72 -IOPATH A[3] O[23] 2430.91:2430.91:2430.91 2607.82:2607.82:2607.82 -IOPATH A[3] O[24] 2348.19:2348.19:2348.19 2505.62:2505.62:2505.62 -IOPATH A[3] O[25] 2461.13:2461.13:2461.13 2644.09:2644.09:2644.09 -IOPATH A[3] O[26] 2624.01:2624.01:2624.01 2824.3:2824.3:2824.3 -IOPATH A[3] O[27] 2614.98:2614.98:2614.98 2779.43:2779.43:2779.43 -IOPATH A[3] O[28] 2545.78:2545.78:2545.78 2703.33:2703.33:2703.33 -IOPATH A[3] O[29] 2630.93:2630.93:2630.93 2791.47:2791.47:2791.47 -IOPATH A[3] O[30] 2697.93:2697.93:2697.93 2827.92:2827.92:2827.92 -IOPATH A[3] O[31] 2748.34:2748.34:2748.34 2838.46:2838.46:2838.46 -IOPATH A[4] ACCUMCO 1591.17:1591.17:1591.17 1990.71:1990.71:1990.71 -IOPATH A[4] CO 2163.57:2163.57:2163.57 2536.7:2536.7:2536.7 -IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47 -IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19 -IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64 -IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84 -IOPATH A[4] O[24] 2332.6:2332.6:2332.6 2490.02:2490.02:2490.02 -IOPATH A[4] O[25] 2445.52:2445.52:2445.52 2628.48:2628.48:2628.48 -IOPATH A[4] O[26] 2608.4:2608.4:2608.4 2808.69:2808.69:2808.69 -IOPATH A[4] O[27] 2599.37:2599.37:2599.37 2763.82:2763.82:2763.82 -IOPATH A[4] O[28] 2530.13:2530.13:2530.13 2687.69:2687.69:2687.69 -IOPATH A[4] O[29] 2615.29:2615.29:2615.29 2775.82:2775.82:2775.82 -IOPATH A[4] O[30] 2682.28:2682.28:2682.28 2812.27:2812.27:2812.27 -IOPATH A[4] O[31] 2732.69:2732.69:2732.69 2822.81:2822.81:2822.81 -IOPATH A[5] ACCUMCO 1532.68:1532.68:1532.68 1826.74:1826.74:1826.74 -IOPATH A[5] CO 2105.09:2105.09:2105.09 2372.72:2372.72:2372.72 -IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46 -IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01 -IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21 -IOPATH A[5] O[24] 2168.63:2168.63:2168.63 2326.05:2326.05:2326.05 -IOPATH A[5] O[25] 2281.55:2281.55:2281.55 2464.51:2464.51:2464.51 -IOPATH A[5] O[26] 2444.43:2444.43:2444.43 2644.72:2644.72:2644.72 -IOPATH A[5] O[27] 2435.4:2435.4:2435.4 2599.85:2599.85:2599.85 -IOPATH A[5] O[28] 2366.16:2366.16:2366.16 2523.72:2523.72:2523.72 -IOPATH A[5] O[29] 2451.32:2451.32:2451.32 2611.85:2611.85:2611.85 -IOPATH A[5] O[30] 2518.32:2518.32:2518.32 2648.3:2648.3:2648.3 -IOPATH A[5] O[31] 2568.72:2568.72:2568.72 2658.84:2658.84:2658.84 -IOPATH A[6] ACCUMCO 1676.25:1676.25:1676.25 1928.47:1928.47:1928.47 -IOPATH A[6] CO 2248.65:2248.65:2248.65 2474.46:2474.46:2474.46 -IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44 -IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49 -IOPATH A[6] O[24] 2280.62:2280.62:2280.62 2427.78:2427.78:2427.78 -IOPATH A[6] O[25] 2383.28:2383.28:2383.28 2566.25:2566.25:2566.25 -IOPATH A[6] O[26] 2546.17:2546.17:2546.17 2746.46:2746.46:2746.46 -IOPATH A[6] O[27] 2537.13:2537.13:2537.13 2701.59:2701.59:2701.59 -IOPATH A[6] O[28] 2467.9:2467.9:2467.9 2625.46:2625.46:2625.46 -IOPATH A[6] O[29] 2553.05:2553.05:2553.05 2713.59:2713.59:2713.59 -IOPATH A[6] O[30] 2620.05:2620.05:2620.05 2750.04:2750.04:2750.04 -IOPATH A[6] O[31] 2670.46:2670.46:2670.46 2760.58:2760.58:2760.58 -IOPATH A[7] ACCUMCO 1603.84:1603.84:1603.84 1852.65:1852.65:1852.65 -IOPATH A[7] CO 2176.24:2176.24:2176.24 2398.64:2398.64:2398.64 -IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.47:2022.47:2022.47 -IOPATH A[7] O[24] 2218.72:2218.72:2218.72 2361.08:2361.08:2361.08 -IOPATH A[7] O[25] 2317.59:2317.59:2317.59 2491.62:2491.62:2491.62 -IOPATH A[7] O[26] 2471.55:2471.55:2471.55 2671.83:2671.83:2671.83 -IOPATH A[7] O[27] 2462.51:2462.51:2462.51 2626.97:2626.97:2626.97 -IOPATH A[7] O[28] 2393.27:2393.27:2393.27 2550.83:2550.83:2550.83 -IOPATH A[7] O[29] 2478.43:2478.43:2478.43 2638.96:2638.96:2638.96 -IOPATH A[7] O[30] 2545.43:2545.43:2545.43 2675.41:2675.41:2675.41 -IOPATH A[7] O[31] 2595.83:2595.83:2595.83 2685.95:2685.95:2685.95 -IOPATH A[8] ACCUMCO 1566.22:1566.22:1566.22 1820.86:1820.86:1820.86 +CELL SB_MAC16_ACC_U_32P32_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:CI posedge:CLK -182.352:-182.352:-182.352 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:CI posedge:CLK -178.334:-178.334:-178.334 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK 1574.37:1574.37:1574.37 +SETUP negedge:A[1] posedge:CLK 1676.94:1676.94:1676.94 +SETUP negedge:A[2] posedge:CLK 1686.38:1686.38:1686.38 +SETUP negedge:A[3] posedge:CLK 1718.39:1718.39:1718.39 +SETUP negedge:A[4] posedge:CLK 1819.53:1819.53:1819.53 +SETUP negedge:A[5] posedge:CLK 1908.04:1908.04:1908.04 +SETUP negedge:A[6] posedge:CLK 1819.68:1819.68:1819.68 +SETUP negedge:A[7] posedge:CLK 1848.48:1848.48:1848.48 +SETUP negedge:A[8] posedge:CLK 1532.57:1532.57:1532.57 +SETUP negedge:A[9] posedge:CLK 1702.81:1702.81:1702.81 +SETUP negedge:A[10] posedge:CLK 1634.61:1634.61:1634.61 +SETUP negedge:A[11] posedge:CLK 1639.84:1639.84:1639.84 +SETUP negedge:A[12] posedge:CLK 1710.5:1710.5:1710.5 +SETUP negedge:A[13] posedge:CLK 1736.25:1736.25:1736.25 +SETUP negedge:A[14] posedge:CLK 1755.84:1755.84:1755.84 +SETUP negedge:A[15] posedge:CLK 1769.23:1769.23:1769.23 +SETUP negedge:ADDSUBBOT posedge:CLK 1951.91:1951.91:1951.91 +SETUP negedge:ADDSUBTOP posedge:CLK 1386.27:1386.27:1386.27 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK 1971.76:1971.76:1971.76 +SETUP negedge:B[1] posedge:CLK 1969.4:1969.4:1969.4 +SETUP negedge:B[2] posedge:CLK 1931.07:1931.07:1931.07 +SETUP negedge:B[3] posedge:CLK 1989.46:1989.46:1989.46 +SETUP negedge:B[4] posedge:CLK 2000.11:2000.11:2000.11 +SETUP negedge:B[5] posedge:CLK 1977.32:1977.32:1977.32 +SETUP negedge:B[6] posedge:CLK 1940.61:1940.61:1940.61 +SETUP negedge:B[7] posedge:CLK 1902.5:1902.5:1902.5 +SETUP negedge:B[8] posedge:CLK 1856.62:1856.62:1856.62 +SETUP negedge:B[9] posedge:CLK 2011.33:2011.33:2011.33 +SETUP negedge:B[10] posedge:CLK 1888.62:1888.62:1888.62 +SETUP negedge:B[11] posedge:CLK 2052.77:2052.77:2052.77 +SETUP negedge:B[12] posedge:CLK 1975.68:1975.68:1975.68 +SETUP negedge:B[13] posedge:CLK 1912.68:1912.68:1912.68 +SETUP negedge:B[14] posedge:CLK 1817.14:1817.14:1817.14 +SETUP negedge:B[15] posedge:CLK 1855.75:1855.75:1855.75 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK 171.761:171.761:171.761 +SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 +SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 +SETUP negedge:C[3] posedge:CLK 138.86:138.86:138.86 +SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 +SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 +SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 +SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:CI posedge:CLK 1273.34:1273.34:1273.34 +SETUP negedge:D[0] posedge:CLK 219.957:219.957:219.957 +SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 +SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 +SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 +SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 +SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 +SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 +SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 +SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 +SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 +SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 +SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 +SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 +SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 +SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 +SETUP negedge:OLOADBOT posedge:CLK 343.244:343.244:343.244 +SETUP negedge:OLOADTOP posedge:CLK 278.585:278.585:278.585 +SETUP posedge:A[0] posedge:CLK 1556.35:1556.35:1556.35 +SETUP posedge:A[1] posedge:CLK 1661.81:1661.81:1661.81 +SETUP posedge:A[2] posedge:CLK 1683.35:1683.35:1683.35 +SETUP posedge:A[3] posedge:CLK 1703.43:1703.43:1703.43 +SETUP posedge:A[4] posedge:CLK 1796.34:1796.34:1796.34 +SETUP posedge:A[5] posedge:CLK 1960.79:1960.79:1960.79 +SETUP posedge:A[6] posedge:CLK 1810.74:1810.74:1810.74 +SETUP posedge:A[7] posedge:CLK 1833.36:1833.36:1833.36 +SETUP posedge:A[8] posedge:CLK 1530.44:1530.44:1530.44 +SETUP posedge:A[9] posedge:CLK 1700.76:1700.76:1700.76 +SETUP posedge:A[10] posedge:CLK 1627.23:1627.23:1627.23 +SETUP posedge:A[11] posedge:CLK 1661.81:1661.81:1661.81 +SETUP posedge:A[12] posedge:CLK 1737.18:1737.18:1737.18 +SETUP posedge:A[13] posedge:CLK 1782.81:1782.81:1782.81 +SETUP posedge:A[14] posedge:CLK 1804.37:1804.37:1804.37 +SETUP posedge:A[15] posedge:CLK 1817.18:1817.18:1817.18 +SETUP posedge:ADDSUBBOT posedge:CLK 1994.11:1994.11:1994.11 +SETUP posedge:ADDSUBTOP posedge:CLK 1424.02:1424.02:1424.02 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK 1930.8:1930.8:1930.8 +SETUP posedge:B[1] posedge:CLK 2084.86:2084.86:2084.86 +SETUP posedge:B[2] posedge:CLK 2006.63:2006.63:2006.63 +SETUP posedge:B[3] posedge:CLK 2049.9:2049.9:2049.9 +SETUP posedge:B[4] posedge:CLK 1960:1960:1960 +SETUP posedge:B[5] posedge:CLK 2035.05:2035.05:2035.05 +SETUP posedge:B[6] posedge:CLK 1992.81:1992.81:1992.81 +SETUP posedge:B[7] posedge:CLK 1946.79:1946.79:1946.79 +SETUP posedge:B[8] posedge:CLK 1860.3:1860.3:1860.3 +SETUP posedge:B[9] posedge:CLK 2056.41:2056.41:2056.41 +SETUP posedge:B[10] posedge:CLK 1976.05:1976.05:1976.05 +SETUP posedge:B[11] posedge:CLK 2022.13:2022.13:2022.13 +SETUP posedge:B[12] posedge:CLK 1922.54:1922.54:1922.54 +SETUP posedge:B[13] posedge:CLK 1931.69:1931.69:1931.69 +SETUP posedge:B[14] posedge:CLK 1829.22:1829.22:1829.22 +SETUP posedge:B[15] posedge:CLK 2081.46:2081.46:2081.46 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 +SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 +SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 +SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 +SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 +SETUP posedge:C[15] posedge:CLK 132.912:132.912:132.912 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:CI posedge:CLK 1188.68:1188.68:1188.68 +SETUP posedge:D[0] posedge:CLK 194.203:194.203:194.203 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 +SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 +SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 +SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 +SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 +SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 +SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 +SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 +SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 +IOPATH A[0] ACCUMCO 1481.77:1481.77:1481.77 1938.69:1938.69:1938.69 +IOPATH A[0] CO 2054.17:2054.17:2054.17 2484.67:2484.67:2484.67 +IOPATH A[1] ACCUMCO 1720.71:1720.71:1720.71 1998.85:1998.85:1998.85 +IOPATH A[1] CO 2293.11:2293.11:2293.11 2544.84:2544.84:2544.84 +IOPATH A[2] ACCUMCO 1658.54:1658.54:1658.54 1871.3:1871.3:1871.3 +IOPATH A[2] CO 2230.95:2230.95:2230.95 2417.28:2417.28:2417.28 +IOPATH A[3] ACCUMCO 1571.35:1571.35:1571.35 1800.27:1800.27:1800.27 +IOPATH A[3] CO 2143.75:2143.75:2143.75 2346.26:2346.26:2346.26 +IOPATH A[4] ACCUMCO 1608.77:1608.77:1608.77 2005.48:2005.48:2005.48 +IOPATH A[4] CO 2181.17:2181.17:2181.17 2551.46:2551.46:2551.46 +IOPATH A[5] ACCUMCO 1541.99:1541.99:1541.99 1839.14:1839.14:1839.14 +IOPATH A[5] CO 2114.39:2114.39:2114.39 2385.13:2385.13:2385.13 +IOPATH A[6] ACCUMCO 1688.25:1688.25:1688.25 1939.64:1939.64:1939.64 +IOPATH A[6] CO 2260.65:2260.65:2260.65 2485.63:2485.63:2485.63 +IOPATH A[7] ACCUMCO 1619.47:1619.47:1619.47 1860.55:1860.55:1860.55 +IOPATH A[7] CO 2191.88:2191.88:2191.88 2406.54:2406.54:2406.54 +IOPATH A[8] ACCUMCO 1575.02:1575.02:1575.02 1835.69:1835.69:1835.69 +IOPATH A[8] CO 2147.43:2147.43:2147.43 2381.67:2381.67:2381.67 +IOPATH A[9] ACCUMCO 1699.9:1699.9:1699.9 1958.06:1958.06:1958.06 +IOPATH A[9] CO 2272.3:2272.3:2272.3 2504.04:2504.04:2504.04 +IOPATH A[10] ACCUMCO 1580.73:1580.73:1580.73 1733.85:1733.85:1733.85 +IOPATH A[10] CO 2153.13:2153.13:2153.13 2279.83:2279.83:2279.83 +IOPATH A[11] ACCUMCO 1431.19:1431.19:1431.19 1593.46:1593.46:1593.46 +IOPATH A[11] CO 2003.6:2003.6:2003.6 2139.45:2139.45:2139.45 +IOPATH A[12] ACCUMCO 1429.52:1429.52:1429.52 1626.47:1626.47:1626.47 +IOPATH A[12] CO 2001.92:2001.92:2001.92 2172.46:2172.46:2172.46 +IOPATH A[13] ACCUMCO 1491.26:1491.26:1491.26 1661.08:1661.08:1661.08 +IOPATH A[13] CO 2063.66:2063.66:2063.66 2207.06:2207.06:2207.06 +IOPATH A[14] ACCUMCO 1561.46:1561.46:1561.46 1649.43:1649.43:1649.43 +IOPATH A[14] CO 2133.86:2133.86:2133.86 2195.42:2195.42:2195.42 +IOPATH A[15] ACCUMCO 1643.64:1643.64:1643.64 1808.14:1808.14:1808.14 +IOPATH A[15] CO 2216.04:2216.04:2216.04 2354.13:2354.13:2354.13 +IOPATH A[15] SIGNEXTOUT 885.756:885.756:885.756 834.238:834.238:834.238 +IOPATH ADDSUBBOT ACCUMCO 2253.92:2253.92:2253.92 2498.2:2498.2:2498.2 +IOPATH ADDSUBBOT CO 2826.33:2826.33:2826.33 3044.19:3044.19:3044.19 +IOPATH ADDSUBTOP ACCUMCO 1607.41:1607.41:1607.41 1894.98:1894.98:1894.98 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[0] ACCUMCO 2194.56:2194.56:2194.56 2460.21:2460.21:2460.21 +IOPATH B[0] CO 2766.96:2766.96:2766.96 3006.2:3006.2:3006.2 +IOPATH B[1] ACCUMCO 2259.17:2259.17:2259.17 2520.34:2520.34:2520.34 +IOPATH B[1] CO 2831.58:2831.58:2831.58 3066.33:3066.33:3066.33 +IOPATH B[2] ACCUMCO 2258.15:2258.15:2258.15 2486.01:2486.01:2486.01 +IOPATH B[2] CO 2830.56:2830.56:2830.56 3032:3032:3032 +IOPATH B[3] ACCUMCO 2131.59:2131.59:2131.59 2371.61:2371.61:2371.61 +IOPATH B[3] CO 2704:2704:2704 2917.59:2917.59:2917.59 +IOPATH B[4] ACCUMCO 2256.15:2256.15:2256.15 2508.41:2508.41:2508.41 +IOPATH B[4] CO 2828.55:2828.55:2828.55 3054.4:3054.4:3054.4 +IOPATH B[5] ACCUMCO 2253.09:2253.09:2253.09 2567.81:2567.81:2567.81 +IOPATH B[5] CO 2825.49:2825.49:2825.49 3113.8:3113.8:3113.8 +IOPATH B[6] ACCUMCO 2225.34:2225.34:2225.34 2496:2496:2496 +IOPATH B[6] CO 2797.75:2797.75:2797.75 3041.99:3041.99:3041.99 +IOPATH B[7] ACCUMCO 2174.43:2174.43:2174.43 2417.76:2417.76:2417.76 +IOPATH B[7] CO 2746.84:2746.84:2746.84 2963.74:2963.74:2963.74 +IOPATH B[8] ACCUMCO 2093.22:2093.22:2093.22 2264.47:2264.47:2264.47 +IOPATH B[8] CO 2665.63:2665.63:2665.63 2810.46:2810.46:2810.46 +IOPATH B[9] ACCUMCO 2194.25:2194.25:2194.25 2316.12:2316.12:2316.12 +IOPATH B[9] CO 2766.65:2766.65:2766.65 2862.11:2862.11:2862.11 +IOPATH B[10] ACCUMCO 2145.64:2145.64:2145.64 2269.49:2269.49:2269.49 +IOPATH B[10] CO 2718.05:2718.05:2718.05 2815.48:2815.48:2815.48 +IOPATH B[11] ACCUMCO 2247.87:2247.87:2247.87 2337.51:2337.51:2337.51 +IOPATH B[11] CO 2820.27:2820.27:2820.27 2883.49:2883.49:2883.49 +IOPATH B[12] ACCUMCO 2275.08:2275.08:2275.08 2466.88:2466.88:2466.88 +IOPATH B[12] CO 2847.48:2847.48:2847.48 3012.87:3012.87:3012.87 +IOPATH B[13] ACCUMCO 2155.04:2155.04:2155.04 2345.71:2345.71:2345.71 +IOPATH B[13] CO 2727.44:2727.44:2727.44 2891.7:2891.7:2891.7 +IOPATH B[14] ACCUMCO 2114.35:2114.35:2114.35 2217.9:2217.9:2217.9 +IOPATH B[14] CO 2686.76:2686.76:2686.76 2763.89:2763.89:2763.89 +IOPATH B[15] ACCUMCO 2339.95:2339.95:2339.95 2480.28:2480.28:2480.28 +IOPATH B[15] CO 2912.35:2912.35:2912.35 3026.27:3026.27:3026.27 +IOPATH CI ACCUMCO 1202.55:1202.55:1202.55 1272.89:1272.89:1272.89 +IOPATH CI CO 1774.95:1774.95:1774.95 1818.88:1818.88:1818.88 +IOPATH posedge:CLK ACCUMCO 2626.57:2626.57:2626.57 2846.62:2846.62:2846.62 +IOPATH posedge:CLK CO 3198.97:3198.97:3198.97 3392.61:3392.61:3392.61 +IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 +IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 +IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 +IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 +IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 +IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 +IOPATH posedge:CLK O[19] 1381.9:1381.9:1381.9 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 +IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.33:1239.33:1239.33 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 + +CELL SB_MAC16_ADS_U_16P16_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 +SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.15:1413.15:1413.15 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.3:1414.3:1414.3 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 +IOPATH ADDSUBTOP ACCUMCO 1601.76:1601.76:1601.76 1891.36:1891.36:1891.36 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.86:2654.86:2654.86 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.84:3200.84:3200.84 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 + +CELL SB_MAC16_ADS_U_16P16_BYPASS +IOPATH A[0] ACCUMCO 1466.97:1466.97:1466.97 1922.71:1922.71:1922.71 +IOPATH A[0] CO 2039.37:2039.37:2039.37 2468.69:2468.69:2468.69 +IOPATH A[0] O[16] 1804.58:1804.58:1804.58 2067.43:2067.43:2067.43 +IOPATH A[0] O[17] 1903.97:1903.97:1903.97 2127.66:2127.66:2127.66 +IOPATH A[0] O[18] 2034.9:2034.9:2034.9 2285.35:2285.35:2285.35 +IOPATH A[0] O[19] 2213.43:2213.43:2213.43 2404.48:2404.48:2404.48 +IOPATH A[0] O[20] 2402.63:2402.63:2402.63 2573.81:2573.81:2573.81 +IOPATH A[0] O[21] 2338.71:2338.71:2338.71 2504.24:2504.24:2504.24 +IOPATH A[0] O[22] 2504.47:2504.47:2504.47 2710.4:2710.4:2710.4 +IOPATH A[0] O[23] 2564.59:2564.59:2564.59 2741.5:2741.5:2741.5 +IOPATH A[0] O[24] 2481.88:2481.88:2481.88 2639.31:2639.31:2639.31 +IOPATH A[0] O[25] 2594.81:2594.81:2594.81 2777.77:2777.77:2777.77 +IOPATH A[0] O[26] 2757.7:2757.7:2757.7 2957.98:2957.98:2957.98 +IOPATH A[0] O[27] 2748.66:2748.66:2748.66 2913.12:2913.12:2913.12 +IOPATH A[0] O[28] 2679.46:2679.46:2679.46 2837.02:2837.02:2837.02 +IOPATH A[0] O[29] 2764.62:2764.62:2764.62 2925.15:2925.15:2925.15 +IOPATH A[0] O[30] 2831.61:2831.61:2831.61 2961.6:2961.6:2961.6 +IOPATH A[0] O[31] 2882.02:2882.02:2882.02 2972.14:2972.14:2972.14 +IOPATH A[1] ACCUMCO 1705.18:1705.18:1705.18 1980.47:1980.47:1980.47 +IOPATH A[1] CO 2277.58:2277.58:2277.58 2526.46:2526.46:2526.46 +IOPATH A[1] O[17] 1948.99:1948.99:1948.99 2157.24:2157.24:2157.24 +IOPATH A[1] O[18] 2100.64:2100.64:2100.64 2335.59:2335.59:2335.59 +IOPATH A[1] O[19] 2268.44:2268.44:2268.44 2444.21:2444.21:2444.21 +IOPATH A[1] O[20] 2460.4:2460.4:2460.4 2631.58:2631.58:2631.58 +IOPATH A[1] O[21] 2396.48:2396.48:2396.48 2562.01:2562.01:2562.01 +IOPATH A[1] O[22] 2562.23:2562.23:2562.23 2768.17:2768.17:2768.17 +IOPATH A[1] O[23] 2622.36:2622.36:2622.36 2799.27:2799.27:2799.27 +IOPATH A[1] O[24] 2539.65:2539.65:2539.65 2697.08:2697.08:2697.08 +IOPATH A[1] O[25] 2652.58:2652.58:2652.58 2835.54:2835.54:2835.54 +IOPATH A[1] O[26] 2815.47:2815.47:2815.47 3015.75:3015.75:3015.75 +IOPATH A[1] O[27] 2806.43:2806.43:2806.43 2970.88:2970.88:2970.88 +IOPATH A[1] O[28] 2737.23:2737.23:2737.23 2894.79:2894.79:2894.79 +IOPATH A[1] O[29] 2822.39:2822.39:2822.39 2982.92:2982.92:2982.92 +IOPATH A[1] O[30] 2889.38:2889.38:2889.38 3019.37:3019.37:3019.37 +IOPATH A[1] O[31] 2939.79:2939.79:2939.79 3029.91:3029.91:3029.91 +IOPATH A[2] ACCUMCO 1648.18:1648.18:1648.18 1862.04:1862.04:1862.04 +IOPATH A[2] CO 2220.58:2220.58:2220.58 2408.03:2408.03:2408.03 +IOPATH A[2] O[18] 1932.5:1932.5:1932.5 2167.45:2167.45:2167.45 +IOPATH A[2] O[19] 2135.26:2135.26:2135.26 2311.03:2311.03:2311.03 +IOPATH A[2] O[20] 2356.23:2356.23:2356.23 2513.15:2513.15:2513.15 +IOPATH A[2] O[21] 2280.28:2280.28:2280.28 2443.58:2443.58:2443.58 +IOPATH A[2] O[22] 2443.8:2443.8:2443.8 2649.74:2649.74:2649.74 +IOPATH A[2] O[23] 2503.93:2503.93:2503.93 2680.84:2680.84:2680.84 +IOPATH A[2] O[24] 2421.21:2421.21:2421.21 2578.64:2578.64:2578.64 +IOPATH A[2] O[25] 2534.15:2534.15:2534.15 2717.11:2717.11:2717.11 +IOPATH A[2] O[26] 2697.03:2697.03:2697.03 2897.32:2897.32:2897.32 +IOPATH A[2] O[27] 2688:2688:2688 2852.45:2852.45:2852.45 +IOPATH A[2] O[28] 2618.8:2618.8:2618.8 2776.36:2776.36:2776.36 +IOPATH A[2] O[29] 2703.95:2703.95:2703.95 2864.49:2864.49:2864.49 +IOPATH A[2] O[30] 2770.95:2770.95:2770.95 2900.94:2900.94:2900.94 +IOPATH A[2] O[31] 2821.36:2821.36:2821.36 2911.48:2911.48:2911.48 +IOPATH A[3] ACCUMCO 1558.54:1558.54:1558.54 1788.54:1788.54:1788.54 +IOPATH A[3] CO 2130.94:2130.94:2130.94 2334.53:2334.53:2334.53 +IOPATH A[3] O[19] 1985.49:1985.49:1985.49 2161.26:2161.26:2161.26 +IOPATH A[3] O[20] 2268.95:2268.95:2268.95 2440.13:2440.13:2440.13 +IOPATH A[3] O[21] 2205.03:2205.03:2205.03 2370.56:2370.56:2370.56 +IOPATH A[3] O[22] 2370.78:2370.78:2370.78 2576.72:2576.72:2576.72 +IOPATH A[3] O[23] 2430.91:2430.91:2430.91 2607.82:2607.82:2607.82 +IOPATH A[3] O[24] 2348.19:2348.19:2348.19 2505.62:2505.62:2505.62 +IOPATH A[3] O[25] 2461.13:2461.13:2461.13 2644.09:2644.09:2644.09 +IOPATH A[3] O[26] 2624.01:2624.01:2624.01 2824.3:2824.3:2824.3 +IOPATH A[3] O[27] 2614.98:2614.98:2614.98 2779.43:2779.43:2779.43 +IOPATH A[3] O[28] 2545.78:2545.78:2545.78 2703.33:2703.33:2703.33 +IOPATH A[3] O[29] 2630.93:2630.93:2630.93 2791.47:2791.47:2791.47 +IOPATH A[3] O[30] 2697.93:2697.93:2697.93 2827.92:2827.92:2827.92 +IOPATH A[3] O[31] 2748.34:2748.34:2748.34 2838.46:2838.46:2838.46 +IOPATH A[4] ACCUMCO 1591.17:1591.17:1591.17 1990.71:1990.71:1990.71 +IOPATH A[4] CO 2163.57:2163.57:2163.57 2536.7:2536.7:2536.7 +IOPATH A[4] O[20] 1974.23:1974.23:1974.23 2129.47:2129.47:2129.47 +IOPATH A[4] O[21] 1935.69:1935.69:1935.69 2085.19:2085.19:2085.19 +IOPATH A[4] O[22] 2087.68:2087.68:2087.68 2278.64:2278.64:2278.64 +IOPATH A[4] O[23] 2136.29:2136.29:2136.29 2298.84:2298.84:2298.84 +IOPATH A[4] O[24] 2332.6:2332.6:2332.6 2490.02:2490.02:2490.02 +IOPATH A[4] O[25] 2445.52:2445.52:2445.52 2628.48:2628.48:2628.48 +IOPATH A[4] O[26] 2608.4:2608.4:2608.4 2808.69:2808.69:2808.69 +IOPATH A[4] O[27] 2599.37:2599.37:2599.37 2763.82:2763.82:2763.82 +IOPATH A[4] O[28] 2530.13:2530.13:2530.13 2687.69:2687.69:2687.69 +IOPATH A[4] O[29] 2615.29:2615.29:2615.29 2775.82:2775.82:2775.82 +IOPATH A[4] O[30] 2682.28:2682.28:2682.28 2812.27:2812.27:2812.27 +IOPATH A[4] O[31] 2732.69:2732.69:2732.69 2822.81:2822.81:2822.81 +IOPATH A[5] ACCUMCO 1532.68:1532.68:1532.68 1826.74:1826.74:1826.74 +IOPATH A[5] CO 2105.09:2105.09:2105.09 2372.72:2372.72:2372.72 +IOPATH A[5] O[21] 1706.96:1706.96:1706.96 1856.46:1856.46:1856.46 +IOPATH A[5] O[22] 1895.05:1895.05:1895.05 2086.01:2086.01:2086.01 +IOPATH A[5] O[23] 1943.66:1943.66:1943.66 2106.21:2106.21:2106.21 +IOPATH A[5] O[24] 2168.63:2168.63:2168.63 2326.05:2326.05:2326.05 +IOPATH A[5] O[25] 2281.55:2281.55:2281.55 2464.51:2464.51:2464.51 +IOPATH A[5] O[26] 2444.43:2444.43:2444.43 2644.72:2644.72:2644.72 +IOPATH A[5] O[27] 2435.4:2435.4:2435.4 2599.85:2599.85:2599.85 +IOPATH A[5] O[28] 2366.16:2366.16:2366.16 2523.72:2523.72:2523.72 +IOPATH A[5] O[29] 2451.32:2451.32:2451.32 2611.85:2611.85:2611.85 +IOPATH A[5] O[30] 2518.32:2518.32:2518.32 2648.3:2648.3:2648.3 +IOPATH A[5] O[31] 2568.72:2568.72:2568.72 2658.84:2658.84:2658.84 +IOPATH A[6] ACCUMCO 1676.25:1676.25:1676.25 1928.47:1928.47:1928.47 +IOPATH A[6] CO 2248.65:2248.65:2248.65 2474.46:2474.46:2474.46 +IOPATH A[6] O[22] 1929.48:1929.48:1929.48 2120.44:2120.44:2120.44 +IOPATH A[6] O[23] 2013.94:2013.94:2013.94 2176.49:2176.49:2176.49 +IOPATH A[6] O[24] 2280.62:2280.62:2280.62 2427.78:2427.78:2427.78 +IOPATH A[6] O[25] 2383.28:2383.28:2383.28 2566.25:2566.25:2566.25 +IOPATH A[6] O[26] 2546.17:2546.17:2546.17 2746.46:2746.46:2746.46 +IOPATH A[6] O[27] 2537.13:2537.13:2537.13 2701.59:2701.59:2701.59 +IOPATH A[6] O[28] 2467.9:2467.9:2467.9 2625.46:2625.46:2625.46 +IOPATH A[6] O[29] 2553.05:2553.05:2553.05 2713.59:2713.59:2713.59 +IOPATH A[6] O[30] 2620.05:2620.05:2620.05 2750.04:2750.04:2750.04 +IOPATH A[6] O[31] 2670.46:2670.46:2670.46 2760.58:2760.58:2760.58 +IOPATH A[7] ACCUMCO 1603.84:1603.84:1603.84 1852.65:1852.65:1852.65 +IOPATH A[7] CO 2176.24:2176.24:2176.24 2398.64:2398.64:2398.64 +IOPATH A[7] O[23] 1859.93:1859.93:1859.93 2022.47:2022.47:2022.47 +IOPATH A[7] O[24] 2218.72:2218.72:2218.72 2361.08:2361.08:2361.08 +IOPATH A[7] O[25] 2317.59:2317.59:2317.59 2491.62:2491.62:2491.62 +IOPATH A[7] O[26] 2471.55:2471.55:2471.55 2671.83:2671.83:2671.83 +IOPATH A[7] O[27] 2462.51:2462.51:2462.51 2626.97:2626.97:2626.97 +IOPATH A[7] O[28] 2393.27:2393.27:2393.27 2550.83:2550.83:2550.83 +IOPATH A[7] O[29] 2478.43:2478.43:2478.43 2638.96:2638.96:2638.96 +IOPATH A[7] O[30] 2545.43:2545.43:2545.43 2675.41:2675.41:2675.41 +IOPATH A[7] O[31] 2595.83:2595.83:2595.83 2685.95:2685.95:2685.95 +IOPATH A[8] ACCUMCO 1566.22:1566.22:1566.22 1820.86:1820.86:1820.86 IOPATH A[8] CO 2138.62:2138.62:2138.62 2366.85:2366.85:2366.85 IOPATH A[8] O[24] 1817.85:1817.85:1817.85 1960.21:1960.21:1960.21 IOPATH A[8] O[25] 1954.44:1954.44:1954.44 2121.68:2121.68:2121.68 @@ -1986,6 +2792,365 @@ IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026 IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45 IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57 +CELL SB_MAC16_ADS_U_32P32_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:CI posedge:CLK -166.545:-166.545:-166.545 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBTOP posedge:CLK 52.173:52.173:52.173 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:CI posedge:CLK -159.601:-159.601:-159.601 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.913:164.913:164.913 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1955.16:1955.16:1955.16 +SETUP negedge:ADDSUBTOP posedge:CLK 1386.42:1386.42:1386.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:CI posedge:CLK 1279.42:1279.42:1279.42 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.673:343.673:343.673 +SETUP negedge:OLOADTOP posedge:CLK 278.306:278.306:278.306 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1997.36:1997.36:1997.36 +SETUP posedge:ADDSUBTOP posedge:CLK 1424.31:1424.31:1424.31 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:CI posedge:CLK 1198.87:1198.87:1198.87 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.118:296.118:296.118 +IOPATH ADDSUBBOT ACCUMCO 2267.19:2267.19:2267.19 2503.75:2503.75:2503.75 +IOPATH ADDSUBBOT CO 2839.59:2839.59:2839.59 3049.73:3049.73:3049.73 +IOPATH ADDSUBTOP ACCUMCO 1607.9:1607.9:1607.9 1895.47:1895.47:1895.47 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH CI ACCUMCO 1219.89:1219.89:1219.89 1283.26:1283.26:1283.26 +IOPATH CI CO 1792.3:1792.3:1792.3 1829.25:1829.25:1829.25 +IOPATH posedge:CLK ACCUMCO 2882.62:2882.62:2882.62 3128.68:3128.68:3128.68 +IOPATH posedge:CLK CO 3455.02:3455.02:3455.02 3674.66:3674.66:3674.66 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.29:1150.29:1150.29 1219.64:1219.64:1219.64 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.25:1382.25:1382.25 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.64:1239.64:1239.64 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1461.01:1461.01:1461.01 1418.04:1418.04:1418.04 + CELL SB_MAC16_ADS_U_32P32_BYPASS IOPATH A[0] ACCUMCO 1473.75:1473.75:1473.75 1927.31:1927.31:1927.31 IOPATH A[0] CO 2046.16:2046.16:2046.16 2473.3:2473.3:2473.3 @@ -3291,7 +4456,1109 @@ IOPATH OLOADTOP O[29] 818.752:818.752:818.752 930.026:930.026:930.026 IOPATH OLOADTOP O[30] 945.173:945.173:945.173 1048.45:1048.45:1048.45 IOPATH OLOADTOP O[31] 938.322:938.322:938.322 1033.57:1033.57:1033.57 -CELL SB_MAC16_MAC_S_16X16_IM_BYPASS +CELL SB_MAC16_MAC_S_16X16_IM_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 +IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 + +CELL SB_MAC16_MAC_U_8X8_ALL_PIPELINE +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 +SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 +IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 +IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 +IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 +IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 + +CELL SB_MAC16_MAC_U_8X8_BYPASS +HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 +HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 +HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 +HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 +HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 +HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 +HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 +HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 +HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 +HOLD negedge:A[11] posedge:CLK 173.057:173.057:173.057 +HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 +HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 +HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 +HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 +HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 +HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 +HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 +HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 +HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 +HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 +HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 +HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 +HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 +HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 +HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 +HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 +HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 +HOLD negedge:C[9] posedge:CLK 235.184:235.184:235.184 +HOLD negedge:C[10] posedge:CLK 233.033:233.033:233.033 +HOLD negedge:C[11] posedge:CLK 235.949:235.949:235.949 +HOLD negedge:C[12] posedge:CLK 239.169:239.169:239.169 +HOLD negedge:C[13] posedge:CLK 235.937:235.937:235.937 +HOLD negedge:C[14] posedge:CLK 235.235:235.235:235.235 +HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 +HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 +HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 +HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 +HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 +HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 +HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 +HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 +HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 +HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 +HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 +HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 +HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 +HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 +HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 +HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 +HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 +HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 +HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 +HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 +HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 +HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 +HOLD posedge:A[11] posedge:CLK 133.088:133.088:133.088 +HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 +HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 +HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 +HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 +HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 +HOLD posedge:ADDSUBTOP posedge:CLK 51.972:51.972:51.972 +HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 +HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 +HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 +HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 +HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 +HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 +HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 +HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 +HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 +HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 +HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 +HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 +HOLD posedge:C[9] posedge:CLK 191.001:191.001:191.001 +HOLD posedge:C[10] posedge:CLK 188.338:188.338:188.338 +HOLD posedge:C[11] posedge:CLK 193.318:193.318:193.318 +HOLD posedge:C[12] posedge:CLK 197.393:197.393:197.393 +HOLD posedge:C[13] posedge:CLK 192.921:192.921:192.921 +HOLD posedge:C[14] posedge:CLK 190.495:190.495:190.495 +HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 +HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 +HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 +HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 +HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 +HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 +HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 +HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 +HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 +HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 +HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 +HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 +HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 +HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 +HOLD posedge:OLOADTOP posedge:CLK 163.456:163.456:163.456 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK 2620.66:2620.66:2620.66 +SETUP negedge:A[1] posedge:CLK 2757.73:2757.73:2757.73 +SETUP negedge:A[2] posedge:CLK 2767.16:2767.16:2767.16 +SETUP negedge:A[3] posedge:CLK 2799.2:2799.2:2799.2 +SETUP negedge:A[4] posedge:CLK 2900.31:2900.31:2900.31 +SETUP negedge:A[5] posedge:CLK 2988.82:2988.82:2988.82 +SETUP negedge:A[6] posedge:CLK 2900.46:2900.46:2900.46 +SETUP negedge:A[7] posedge:CLK 2929.26:2929.26:2929.26 +SETUP negedge:A[8] posedge:CLK 2579.34:2579.34:2579.34 +SETUP negedge:A[9] posedge:CLK 2759.68:2759.68:2759.68 +SETUP negedge:A[10] posedge:CLK 2691.47:2691.47:2691.47 +SETUP negedge:A[11] posedge:CLK 2696.7:2696.7:2696.7 +SETUP negedge:A[12] posedge:CLK 2767.37:2767.37:2767.37 +SETUP negedge:A[13] posedge:CLK 2793.11:2793.11:2793.11 +SETUP negedge:A[14] posedge:CLK 2812.7:2812.7:2812.7 +SETUP negedge:A[15] posedge:CLK 2826.08:2826.08:2826.08 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK 2910.97:2910.97:2910.97 +SETUP negedge:B[1] posedge:CLK 3050.18:3050.18:3050.18 +SETUP negedge:B[2] posedge:CLK 2975.78:2975.78:2975.78 +SETUP negedge:B[3] posedge:CLK 3070.24:3070.24:3070.24 +SETUP negedge:B[4] posedge:CLK 2890.04:2890.04:2890.04 +SETUP negedge:B[5] posedge:CLK 3003.39:3003.39:3003.39 +SETUP negedge:B[6] posedge:CLK 2650.1:2650.1:2650.1 +SETUP negedge:B[7] posedge:CLK 2750.06:2750.06:2750.06 +SETUP negedge:B[8] posedge:CLK 2841.51:2841.51:2841.51 +SETUP negedge:B[9] posedge:CLK 3068.19:3068.19:3068.19 +SETUP negedge:B[10] posedge:CLK 2945.48:2945.48:2945.48 +SETUP negedge:B[11] posedge:CLK 3109.63:3109.63:3109.63 +SETUP negedge:B[12] posedge:CLK 2924.88:2924.88:2924.88 +SETUP negedge:B[13] posedge:CLK 2969.53:2969.53:2969.53 +SETUP negedge:B[14] posedge:CLK 2575.1:2575.1:2575.1 +SETUP negedge:B[15] posedge:CLK 2726.04:2726.04:2726.04 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 +SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 +SETUP negedge:C[3] posedge:CLK 138.868:138.868:138.868 +SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 +SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 +SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 +SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 +SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 +SETUP negedge:D[0] posedge:CLK 219.968:219.968:219.968 +SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 +SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 +SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 +SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 +SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 +SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 +SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 +SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 +SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 +SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 +SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 +SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 +SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 +SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 +SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 +SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 +SETUP negedge:OLOADBOT posedge:CLK 343.274:343.274:343.274 +SETUP negedge:OLOADTOP posedge:CLK 278.586:278.586:278.586 +SETUP posedge:A[0] posedge:CLK 2596.37:2596.37:2596.37 +SETUP posedge:A[1] posedge:CLK 2742.59:2742.59:2742.59 +SETUP posedge:A[2] posedge:CLK 2764.11:2764.11:2764.11 +SETUP posedge:A[3] posedge:CLK 2784.23:2784.23:2784.23 +SETUP posedge:A[4] posedge:CLK 2877.13:2877.13:2877.13 +SETUP posedge:A[5] posedge:CLK 3041.57:3041.57:3041.57 +SETUP posedge:A[6] posedge:CLK 2891.53:2891.53:2891.53 +SETUP posedge:A[7] posedge:CLK 2914.14:2914.14:2914.14 +SETUP posedge:A[8] posedge:CLK 2574.84:2574.84:2574.84 +SETUP posedge:A[9] posedge:CLK 2757.62:2757.62:2757.62 +SETUP posedge:A[10] posedge:CLK 2684.09:2684.09:2684.09 +SETUP posedge:A[11] posedge:CLK 2718.67:2718.67:2718.67 +SETUP posedge:A[12] posedge:CLK 2794.04:2794.04:2794.04 +SETUP posedge:A[13] posedge:CLK 2839.67:2839.67:2839.67 +SETUP posedge:A[14] posedge:CLK 2861.25:2861.25:2861.25 +SETUP posedge:A[15] posedge:CLK 2874.04:2874.04:2874.04 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.13:1413.13:1413.13 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.03:1414.03:1414.03 +SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 +SETUP posedge:B[0] posedge:CLK 3011.59:3011.59:3011.59 +SETUP posedge:B[1] posedge:CLK 3165.65:3165.65:3165.65 +SETUP posedge:B[2] posedge:CLK 3087.69:3087.69:3087.69 +SETUP posedge:B[3] posedge:CLK 3130.68:3130.68:3130.68 +SETUP posedge:B[4] posedge:CLK 3006.05:3006.05:3006.05 +SETUP posedge:B[5] posedge:CLK 3055.38:3055.38:3055.38 +SETUP posedge:B[6] posedge:CLK 2740.67:2740.67:2740.67 +SETUP posedge:B[7] posedge:CLK 2849.44:2849.44:2849.44 +SETUP posedge:B[8] posedge:CLK 2917.16:2917.16:2917.16 +SETUP posedge:B[9] posedge:CLK 3113.27:3113.27:3113.27 +SETUP posedge:B[10] posedge:CLK 3032.91:3032.91:3032.91 +SETUP posedge:B[11] posedge:CLK 3079.01:3079.01:3079.01 +SETUP posedge:B[12] posedge:CLK 2979.4:2979.4:2979.4 +SETUP posedge:B[13] posedge:CLK 2975.29:2975.29:2975.29 +SETUP posedge:B[14] posedge:CLK 2627.74:2627.74:2627.74 +SETUP posedge:B[15] posedge:CLK 2951.33:2951.33:2951.33 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 +SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 +SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 +SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 +SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 +SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 +SETUP posedge:C[13] posedge:CLK 142.829:142.829:142.829 +SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 +SETUP posedge:C[15] posedge:CLK 132.915:132.915:132.915 +SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 +SETUP posedge:D[0] posedge:CLK 194.218:194.218:194.218 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 +SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 +SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 +SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 +SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 +SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 +SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 +SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 +SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 +SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 +SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 +SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 +IOPATH A[8] ACCUMCO 4348.61:4348.61:4348.61 4563.86:4563.86:4563.86 +IOPATH A[8] CO 4921.01:4921.01:4921.01 5109.84:5109.84:5109.84 +IOPATH A[8] SIGNEXTOUT 3523.8:3523.8:3523.8 3652.06:3652.06:3652.06 +IOPATH A[9] ACCUMCO 4637.93:4637.93:4637.93 4853.35:4853.35:4853.35 +IOPATH A[9] CO 5210.34:5210.34:5210.34 5399.34:5399.34:5399.34 +IOPATH A[9] SIGNEXTOUT 3813.2:3813.2:3813.2 3941.46:3941.46:3941.46 +IOPATH A[10] ACCUMCO 4522.04:4522.04:4522.04 4728.36:4728.36:4728.36 +IOPATH A[10] CO 5094.44:5094.44:5094.44 5274.35:5274.35:5274.35 +IOPATH A[10] SIGNEXTOUT 3697.26:3697.26:3697.26 3825.52:3825.52:3825.52 +IOPATH A[11] ACCUMCO 4530.9:4530.9:4530.9 4787.15:4787.15:4787.15 +IOPATH A[11] CO 5103.3:5103.3:5103.3 5333.13:5333.13:5333.13 +IOPATH A[11] SIGNEXTOUT 3743.49:3743.49:3743.49 3871.76:3871.76:3871.76 +IOPATH A[12] ACCUMCO 4651.03:4651.03:4651.03 4915.27:4915.27:4915.27 +IOPATH A[12] CO 5223.44:5223.44:5223.44 5461.26:5461.26:5461.26 +IOPATH A[12] SIGNEXTOUT 3871.61:3871.61:3871.61 3999.88:3999.88:3999.88 +IOPATH A[13] ACCUMCO 4694.78:4694.78:4694.78 4992.85:4992.85:4992.85 +IOPATH A[13] CO 5267.18:5267.18:5267.18 5538.83:5538.83:5538.83 +IOPATH A[13] SIGNEXTOUT 3949.19:3949.19:3949.19 4077.46:4077.46:4077.46 +IOPATH A[14] ACCUMCO 4728.02:4728.02:4728.02 5024.77:5024.77:5024.77 +IOPATH A[14] CO 5300.43:5300.43:5300.43 5570.76:5570.76:5570.76 +IOPATH A[14] SIGNEXTOUT 3985.87:3985.87:3985.87 4114.14:4114.14:4114.14 +IOPATH A[15] ACCUMCO 4750.93:4750.93:4750.93 5051.31:5051.31:5051.31 +IOPATH A[15] CO 5323.33:5323.33:5323.33 5597.29:5597.29:5597.29 +IOPATH A[15] SIGNEXTOUT 4007.62:4007.62:4007.62 4135.88:4135.88:4135.88 +IOPATH ADDSUBTOP ACCUMCO 1601.28:1601.28:1601.28 1890.89:1890.89:1890.89 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH B[8] ACCUMCO 4777.11:4777.11:4777.11 5119.93:5119.93:5119.93 +IOPATH B[8] CO 5349.51:5349.51:5349.51 5665.92:5665.92:5665.92 +IOPATH B[8] SIGNEXTOUT 4080.91:4080.91:4080.91 4209.18:4209.18:4209.18 +IOPATH B[9] ACCUMCO 5162.5:5162.5:5162.5 5453.35:5453.35:5453.35 +IOPATH B[9] CO 5734.9:5734.9:5734.9 5999.34:5999.34:5999.34 +IOPATH B[9] SIGNEXTOUT 4414.32:4414.32:4414.32 4542.58:4542.58:4542.58 +IOPATH B[10] ACCUMCO 4953.74:4953.74:4953.74 5316.1:5316.1:5316.1 +IOPATH B[10] CO 5526.14:5526.14:5526.14 5862.09:5862.09:5862.09 +IOPATH B[10] SIGNEXTOUT 4277.69:4277.69:4277.69 4405.96:4405.96:4405.96 +IOPATH B[11] ACCUMCO 5232.92:5232.92:5232.92 5395.07:5395.07:5395.07 +IOPATH B[11] CO 5805.32:5805.32:5805.32 5941.05:5941.05:5941.05 +IOPATH B[11] SIGNEXTOUT 4408.13:4408.13:4408.13 4536.39:4536.39:4536.39 +IOPATH B[12] ACCUMCO 4918.85:4918.85:4918.85 5225.77:5225.77:5225.77 +IOPATH B[12] CO 5491.26:5491.26:5491.26 5771.75:5771.75:5771.75 +IOPATH B[12] SIGNEXTOUT 4186.72:4186.72:4186.72 4314.99:4314.99:4314.99 +IOPATH B[13] ACCUMCO 4994.74:4994.74:4994.74 5241.39:5241.39:5241.39 +IOPATH B[13] CO 5567.15:5567.15:5567.15 5787.38:5787.38:5787.38 +IOPATH B[13] SIGNEXTOUT 4202.3:4202.3:4202.3 4330.56:4330.56:4330.56 +IOPATH B[14] ACCUMCO 4612.23:4612.23:4612.23 4895.33:4895.33:4895.33 +IOPATH B[14] CO 5184.64:5184.64:5184.64 5441.31:5441.31:5441.31 +IOPATH B[14] SIGNEXTOUT 3856.39:3856.39:3856.39 3984.65:3984.65:3984.65 +IOPATH B[15] ACCUMCO 5085.26:5085.26:5085.26 5496.73:5496.73:5496.73 +IOPATH B[15] CO 5657.67:5657.67:5657.67 6042.71:6042.71:6042.71 +IOPATH B[15] SIGNEXTOUT 4457.61:4457.61:4457.61 4585.88:4585.88:4585.88 +IOPATH posedge:CLK ACCUMCO 2021.44:2021.44:2021.44 2326.07:2326.07:2326.07 +IOPATH posedge:CLK CO 2593.85:2593.85:2593.85 2872.06:2872.06:2872.06 +IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 +IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 +IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 +IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 +IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 +IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 +IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 +IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 +IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 +IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 +IOPATH posedge:CLK O[19] 1381.94:1381.94:1381.94 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 +IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 +IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 +IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 +IOPATH posedge:CLK O[26] 1239.34:1239.34:1239.34 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 +IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 + +CELL SB_MAC16_MAC_U_8X8_IM_BYPASS HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -3309,25 +5576,25 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 @@ -3365,7 +5632,7 @@ HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 @@ -3383,23 +5650,23 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 @@ -3439,9 +5706,9 @@ HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 @@ -3472,15 +5739,15 @@ SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 +SETUP negedge:B[0] posedge:CLK -54.502:-54.502:-54.502 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 @@ -3489,7 +5756,7 @@ SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 +SETUP negedge:B[14] posedge:CLK -21.967:-21.967:-21.967 SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 @@ -3528,8 +5795,8 @@ SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 +SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 @@ -3546,24 +5813,24 @@ SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[0] posedge:CLK -36.762:-36.762:-36.762 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[7] posedge:CLK -23.846:-23.846:-23.846 SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 @@ -3603,20 +5870,18 @@ SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 -IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 +SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 +IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 5638.08:5638.08:5638.08 5851.21:5851.21:5851.21 +IOPATH posedge:CLK CO 6210.49:6210.49:6210.49 6397.2:6397.2:6397.2 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 @@ -3624,27 +5889,27 @@ IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222. IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 +IOPATH posedge:CLK O[14] 1264.73:1264.73:1264.73 1367.2:1367.2:1367.2 IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 +IOPATH posedge:CLK O[19] 1382.29:1382.29:1382.29 1497.97:1497.97:1497.97 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.91:1389.91:1389.91 IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 +IOPATH posedge:CLK SIGNEXTOUT 4925.14:4925.14:4925.14 5062.08:5062.08:5062.08 -CELL SB_MAC16_MAC_U_8X8_ALL_PIPELINE +CELL SB_MAC16_MAC_U_16X16_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -3662,7 +5927,7 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 @@ -3718,7 +5983,7 @@ HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 @@ -3736,7 +6001,7 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 @@ -3792,7 +6057,7 @@ HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 @@ -3825,8 +6090,8 @@ SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 @@ -3881,8 +6146,8 @@ SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 -SETUP negedge:OLOADTOP posedge:CLK 278.817:278.817:278.817 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 @@ -3899,8 +6164,8 @@ SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 @@ -3956,18 +6221,20 @@ SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 -IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 -IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 +IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 +IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 @@ -3978,24 +6245,24 @@ IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267. IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 +IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 -CELL SB_MAC16_MAC_U_8X8_BYPASS +CELL SB_MAC16_MAC_U_16X16_BYPASS HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -4013,7 +6280,7 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 +HOLD negedge:ADDSUBTOP posedge:CLK 63.821:63.821:63.821 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 @@ -4069,7 +6336,7 @@ HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 +HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 @@ -4087,7 +6354,7 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.972:51.972:51.972 +HOLD posedge:ADDSUBTOP posedge:CLK 50.832:50.832:50.832 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 @@ -4143,7 +6410,7 @@ HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 -HOLD posedge:OLOADTOP posedge:CLK 163.456:163.456:163.456 +HOLD posedge:OLOADTOP posedge:CLK 162.623:162.623:162.623 RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 @@ -4160,46 +6427,46 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK 2620.66:2620.66:2620.66 -SETUP negedge:A[1] posedge:CLK 2757.73:2757.73:2757.73 -SETUP negedge:A[2] posedge:CLK 2767.16:2767.16:2767.16 -SETUP negedge:A[3] posedge:CLK 2799.2:2799.2:2799.2 -SETUP negedge:A[4] posedge:CLK 2900.31:2900.31:2900.31 -SETUP negedge:A[5] posedge:CLK 2988.82:2988.82:2988.82 -SETUP negedge:A[6] posedge:CLK 2900.46:2900.46:2900.46 -SETUP negedge:A[7] posedge:CLK 2929.26:2929.26:2929.26 -SETUP negedge:A[8] posedge:CLK 2579.34:2579.34:2579.34 -SETUP negedge:A[9] posedge:CLK 2759.68:2759.68:2759.68 -SETUP negedge:A[10] posedge:CLK 2691.47:2691.47:2691.47 -SETUP negedge:A[11] posedge:CLK 2696.7:2696.7:2696.7 -SETUP negedge:A[12] posedge:CLK 2767.37:2767.37:2767.37 -SETUP negedge:A[13] posedge:CLK 2793.11:2793.11:2793.11 -SETUP negedge:A[14] posedge:CLK 2812.7:2812.7:2812.7 -SETUP negedge:A[15] posedge:CLK 2826.08:2826.08:2826.08 -SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 -SETUP negedge:ADDSUBTOP posedge:CLK 1376.27:1376.27:1376.27 +SETUP negedge:A[0] posedge:CLK 4365.53:4365.53:4365.53 +SETUP negedge:A[1] posedge:CLK 4502.59:4502.59:4502.59 +SETUP negedge:A[2] posedge:CLK 4512.03:4512.03:4512.03 +SETUP negedge:A[3] posedge:CLK 4543.49:4543.49:4543.49 +SETUP negedge:A[4] posedge:CLK 4645.18:4645.18:4645.18 +SETUP negedge:A[5] posedge:CLK 4733.69:4733.69:4733.69 +SETUP negedge:A[6] posedge:CLK 4645.33:4645.33:4645.33 +SETUP negedge:A[7] posedge:CLK 4674.13:4674.13:4674.13 +SETUP negedge:A[8] posedge:CLK 3846.55:3846.55:3846.55 +SETUP negedge:A[9] posedge:CLK 3997.92:3997.92:3997.92 +SETUP negedge:A[10] posedge:CLK 3958.58:3958.58:3958.58 +SETUP negedge:A[11] posedge:CLK 3923.77:3923.77:3923.77 +SETUP negedge:A[12] posedge:CLK 4050.9:4050.9:4050.9 +SETUP negedge:A[13] posedge:CLK 4056.9:4056.9:4056.9 +SETUP negedge:A[14] posedge:CLK 4087.04:4087.04:4087.04 +SETUP negedge:A[15] posedge:CLK 4344.59:4344.59:4344.59 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.09:1384.09:1384.09 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK 2910.97:2910.97:2910.97 -SETUP negedge:B[1] posedge:CLK 3050.18:3050.18:3050.18 -SETUP negedge:B[2] posedge:CLK 2975.78:2975.78:2975.78 -SETUP negedge:B[3] posedge:CLK 3070.24:3070.24:3070.24 -SETUP negedge:B[4] posedge:CLK 2890.04:2890.04:2890.04 -SETUP negedge:B[5] posedge:CLK 3003.39:3003.39:3003.39 -SETUP negedge:B[6] posedge:CLK 2650.1:2650.1:2650.1 -SETUP negedge:B[7] posedge:CLK 2750.06:2750.06:2750.06 -SETUP negedge:B[8] posedge:CLK 2841.51:2841.51:2841.51 -SETUP negedge:B[9] posedge:CLK 3068.19:3068.19:3068.19 -SETUP negedge:B[10] posedge:CLK 2945.48:2945.48:2945.48 -SETUP negedge:B[11] posedge:CLK 3109.63:3109.63:3109.63 -SETUP negedge:B[12] posedge:CLK 2924.88:2924.88:2924.88 -SETUP negedge:B[13] posedge:CLK 2969.53:2969.53:2969.53 -SETUP negedge:B[14] posedge:CLK 2575.1:2575.1:2575.1 -SETUP negedge:B[15] posedge:CLK 2726.04:2726.04:2726.04 +SETUP negedge:B[0] posedge:CLK 4655.83:4655.83:4655.83 +SETUP negedge:B[1] posedge:CLK 4795.05:4795.05:4795.05 +SETUP negedge:B[2] posedge:CLK 4717.56:4717.56:4717.56 +SETUP negedge:B[3] posedge:CLK 4815.11:4815.11:4815.11 +SETUP negedge:B[4] posedge:CLK 4634.91:4634.91:4634.91 +SETUP negedge:B[5] posedge:CLK 4746.43:4746.43:4746.43 +SETUP negedge:B[6] posedge:CLK 4396.14:4396.14:4396.14 +SETUP negedge:B[7] posedge:CLK 4498.31:4498.31:4498.31 +SETUP negedge:B[8] posedge:CLK 3989.68:3989.68:3989.68 +SETUP negedge:B[9] posedge:CLK 4214.07:4214.07:4214.07 +SETUP negedge:B[10] posedge:CLK 4079.48:4079.48:4079.48 +SETUP negedge:B[11] posedge:CLK 4262.22:4262.22:4262.22 +SETUP negedge:B[12] posedge:CLK 4069.73:4069.73:4069.73 +SETUP negedge:B[13] posedge:CLK 4098.93:4098.93:4098.93 +SETUP negedge:B[14] posedge:CLK 3906.61:3906.61:3906.61 +SETUP negedge:B[15] posedge:CLK 4035.05:4035.05:4035.05 SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[0] posedge:CLK 171.396:171.396:171.396 SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 -SETUP negedge:C[2] posedge:CLK 150.816:150.816:150.816 -SETUP negedge:C[3] posedge:CLK 138.868:138.868:138.868 +SETUP negedge:C[2] posedge:CLK 150.818:150.818:150.818 +SETUP negedge:C[3] posedge:CLK 136.05:136.05:136.05 SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 @@ -4207,99 +6474,99 @@ SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[11] posedge:CLK 151.422:151.422:151.422 SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 -SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[13] posedge:CLK 152.446:152.446:152.446 SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 -SETUP negedge:C[15] posedge:CLK 137.212:137.212:137.212 +SETUP negedge:C[15] posedge:CLK 134.888:134.888:134.888 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK 219.968:219.968:219.968 +SETUP negedge:D[0] posedge:CLK 217.315:217.315:217.315 SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 -SETUP negedge:D[3] posedge:CLK 135.268:135.268:135.268 +SETUP negedge:D[3] posedge:CLK 135.27:135.27:135.27 SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 -SETUP negedge:D[6] posedge:CLK 155.316:155.316:155.316 -SETUP negedge:D[7] posedge:CLK 151.094:151.094:151.094 -SETUP negedge:D[8] posedge:CLK 150.08:150.08:150.08 -SETUP negedge:D[9] posedge:CLK 148.146:148.146:148.146 -SETUP negedge:D[10] posedge:CLK 169.736:169.736:169.736 -SETUP negedge:D[11] posedge:CLK 143.403:143.403:143.403 -SETUP negedge:D[12] posedge:CLK 141.62:141.62:141.62 +SETUP negedge:D[6] posedge:CLK 155.319:155.319:155.319 +SETUP negedge:D[7] posedge:CLK 151.097:151.097:151.097 +SETUP negedge:D[8] posedge:CLK 150.083:150.083:150.083 +SETUP negedge:D[9] posedge:CLK 148.149:148.149:148.149 +SETUP negedge:D[10] posedge:CLK 169.739:169.739:169.739 +SETUP negedge:D[11] posedge:CLK 143.406:143.406:143.406 +SETUP negedge:D[12] posedge:CLK 141.623:141.623:141.623 SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 -SETUP negedge:OLOADBOT posedge:CLK 343.274:343.274:343.274 -SETUP negedge:OLOADTOP posedge:CLK 278.586:278.586:278.586 -SETUP posedge:A[0] posedge:CLK 2596.37:2596.37:2596.37 -SETUP posedge:A[1] posedge:CLK 2742.59:2742.59:2742.59 -SETUP posedge:A[2] posedge:CLK 2764.11:2764.11:2764.11 -SETUP posedge:A[3] posedge:CLK 2784.23:2784.23:2784.23 -SETUP posedge:A[4] posedge:CLK 2877.13:2877.13:2877.13 -SETUP posedge:A[5] posedge:CLK 3041.57:3041.57:3041.57 -SETUP posedge:A[6] posedge:CLK 2891.53:2891.53:2891.53 -SETUP posedge:A[7] posedge:CLK 2914.14:2914.14:2914.14 -SETUP posedge:A[8] posedge:CLK 2574.84:2574.84:2574.84 -SETUP posedge:A[9] posedge:CLK 2757.62:2757.62:2757.62 -SETUP posedge:A[10] posedge:CLK 2684.09:2684.09:2684.09 -SETUP posedge:A[11] posedge:CLK 2718.67:2718.67:2718.67 -SETUP posedge:A[12] posedge:CLK 2794.04:2794.04:2794.04 -SETUP posedge:A[13] posedge:CLK 2839.67:2839.67:2839.67 -SETUP posedge:A[14] posedge:CLK 2861.25:2861.25:2861.25 -SETUP posedge:A[15] posedge:CLK 2874.04:2874.04:2874.04 -SETUP posedge:ADDSUBBOT posedge:CLK 1413.13:1413.13:1413.13 -SETUP posedge:ADDSUBTOP posedge:CLK 1414.03:1414.03:1414.03 +SETUP negedge:OLOADBOT posedge:CLK 342.274:342.274:342.274 +SETUP negedge:OLOADTOP posedge:CLK 276.508:276.508:276.508 +SETUP posedge:A[0] posedge:CLK 4341.23:4341.23:4341.23 +SETUP posedge:A[1] posedge:CLK 4487.46:4487.46:4487.46 +SETUP posedge:A[2] posedge:CLK 4508.98:4508.98:4508.98 +SETUP posedge:A[3] posedge:CLK 4528.53:4528.53:4528.53 +SETUP posedge:A[4] posedge:CLK 4621.99:4621.99:4621.99 +SETUP posedge:A[5] posedge:CLK 4786.44:4786.44:4786.44 +SETUP posedge:A[6] posedge:CLK 4636.4:4636.4:4636.4 +SETUP posedge:A[7] posedge:CLK 4659.01:4659.01:4659.01 +SETUP posedge:A[8] posedge:CLK 3815.58:3815.58:3815.58 +SETUP posedge:A[9] posedge:CLK 3977.46:3977.46:3977.46 +SETUP posedge:A[10] posedge:CLK 3932:3932:3932 +SETUP posedge:A[11] posedge:CLK 3946.9:3946.9:3946.9 +SETUP posedge:A[12] posedge:CLK 4074.6:4074.6:4074.6 +SETUP posedge:A[13] posedge:CLK 4100.52:4100.52:4100.52 +SETUP posedge:A[14] posedge:CLK 4120.6:4120.6:4120.6 +SETUP posedge:A[15] posedge:CLK 4408.38:4408.38:4408.38 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.59:1420.59:1420.59 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK 3011.59:3011.59:3011.59 -SETUP posedge:B[1] posedge:CLK 3165.65:3165.65:3165.65 -SETUP posedge:B[2] posedge:CLK 3087.69:3087.69:3087.69 -SETUP posedge:B[3] posedge:CLK 3130.68:3130.68:3130.68 -SETUP posedge:B[4] posedge:CLK 3006.05:3006.05:3006.05 -SETUP posedge:B[5] posedge:CLK 3055.38:3055.38:3055.38 -SETUP posedge:B[6] posedge:CLK 2740.67:2740.67:2740.67 -SETUP posedge:B[7] posedge:CLK 2849.44:2849.44:2849.44 -SETUP posedge:B[8] posedge:CLK 2917.16:2917.16:2917.16 -SETUP posedge:B[9] posedge:CLK 3113.27:3113.27:3113.27 -SETUP posedge:B[10] posedge:CLK 3032.91:3032.91:3032.91 -SETUP posedge:B[11] posedge:CLK 3079.01:3079.01:3079.01 -SETUP posedge:B[12] posedge:CLK 2979.4:2979.4:2979.4 -SETUP posedge:B[13] posedge:CLK 2975.29:2975.29:2975.29 -SETUP posedge:B[14] posedge:CLK 2627.74:2627.74:2627.74 -SETUP posedge:B[15] posedge:CLK 2951.33:2951.33:2951.33 +SETUP posedge:B[0] posedge:CLK 4756.45:4756.45:4756.45 +SETUP posedge:B[1] posedge:CLK 4910.51:4910.51:4910.51 +SETUP posedge:B[2] posedge:CLK 4826.65:4826.65:4826.65 +SETUP posedge:B[3] posedge:CLK 4875.55:4875.55:4875.55 +SETUP posedge:B[4] posedge:CLK 4750.92:4750.92:4750.92 +SETUP posedge:B[5] posedge:CLK 4799.81:4799.81:4799.81 +SETUP posedge:B[6] posedge:CLK 4483.34:4483.34:4483.34 +SETUP posedge:B[7] posedge:CLK 4597.68:4597.68:4597.68 +SETUP posedge:B[8] posedge:CLK 4073.39:4073.39:4073.39 +SETUP posedge:B[9] posedge:CLK 4269.2:4269.2:4269.2 +SETUP posedge:B[10] posedge:CLK 4172.88:4172.88:4172.88 +SETUP posedge:B[11] posedge:CLK 4267.76:4267.76:4267.76 +SETUP posedge:B[12] posedge:CLK 4118.86:4118.86:4118.86 +SETUP posedge:B[13] posedge:CLK 4144.24:4144.24:4144.24 +SETUP posedge:B[14] posedge:CLK 3959.82:3959.82:3959.82 +SETUP posedge:B[15] posedge:CLK 4224.5:4224.5:4224.5 SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 152.16:152.16:152.16 +SETUP posedge:C[0] posedge:CLK 151.557:151.557:151.557 SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 -SETUP posedge:C[2] posedge:CLK 145.564:145.564:145.564 -SETUP posedge:C[3] posedge:CLK 146.993:146.993:146.993 -SETUP posedge:C[4] posedge:CLK 151.047:151.047:151.047 +SETUP posedge:C[2] posedge:CLK 145.566:145.566:145.566 +SETUP posedge:C[3] posedge:CLK 145.974:145.974:145.974 +SETUP posedge:C[4] posedge:CLK 150.915:150.915:150.915 SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[11] posedge:CLK 129.775:129.775:129.775 SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 -SETUP posedge:C[13] posedge:CLK 142.829:142.829:142.829 +SETUP posedge:C[13] posedge:CLK 141.463:141.463:141.463 SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 -SETUP posedge:C[15] posedge:CLK 132.915:132.915:132.915 +SETUP posedge:C[15] posedge:CLK 130.431:130.431:130.431 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 194.218:194.218:194.218 -SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.899:127.899:127.899 -SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.907:131.907:131.907 -SETUP posedge:D[7] posedge:CLK 156.309:156.309:156.309 -SETUP posedge:D[8] posedge:CLK 137.909:137.909:137.909 -SETUP posedge:D[9] posedge:CLK 137.989:137.989:137.989 -SETUP posedge:D[10] posedge:CLK 151.218:151.218:151.218 -SETUP posedge:D[11] posedge:CLK 126.786:126.786:126.786 -SETUP posedge:D[12] posedge:CLK 133.135:133.135:133.135 +SETUP posedge:D[0] posedge:CLK 192.087:192.087:192.087 +SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.902:127.902:127.902 +SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.91:131.91:131.91 +SETUP posedge:D[7] posedge:CLK 156.312:156.312:156.312 +SETUP posedge:D[8] posedge:CLK 137.912:137.912:137.912 +SETUP posedge:D[9] posedge:CLK 137.991:137.991:137.991 +SETUP posedge:D[10] posedge:CLK 151.221:151.221:151.221 +SETUP posedge:D[11] posedge:CLK 126.789:126.789:126.789 +SETUP posedge:D[12] posedge:CLK 133.138:133.138:133.138 SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 @@ -4307,66 +6574,116 @@ SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.65:295.65:295.65 -IOPATH A[8] ACCUMCO 4348.61:4348.61:4348.61 4563.86:4563.86:4563.86 -IOPATH A[8] CO 4921.01:4921.01:4921.01 5109.84:5109.84:5109.84 -IOPATH A[8] SIGNEXTOUT 3523.8:3523.8:3523.8 3652.06:3652.06:3652.06 -IOPATH A[9] ACCUMCO 4637.93:4637.93:4637.93 4853.35:4853.35:4853.35 -IOPATH A[9] CO 5210.34:5210.34:5210.34 5399.34:5399.34:5399.34 -IOPATH A[9] SIGNEXTOUT 3813.2:3813.2:3813.2 3941.46:3941.46:3941.46 -IOPATH A[10] ACCUMCO 4522.04:4522.04:4522.04 4728.36:4728.36:4728.36 -IOPATH A[10] CO 5094.44:5094.44:5094.44 5274.35:5274.35:5274.35 -IOPATH A[10] SIGNEXTOUT 3697.26:3697.26:3697.26 3825.52:3825.52:3825.52 -IOPATH A[11] ACCUMCO 4530.9:4530.9:4530.9 4787.15:4787.15:4787.15 -IOPATH A[11] CO 5103.3:5103.3:5103.3 5333.13:5333.13:5333.13 -IOPATH A[11] SIGNEXTOUT 3743.49:3743.49:3743.49 3871.76:3871.76:3871.76 -IOPATH A[12] ACCUMCO 4651.03:4651.03:4651.03 4915.27:4915.27:4915.27 -IOPATH A[12] CO 5223.44:5223.44:5223.44 5461.26:5461.26:5461.26 -IOPATH A[12] SIGNEXTOUT 3871.61:3871.61:3871.61 3999.88:3999.88:3999.88 -IOPATH A[13] ACCUMCO 4694.78:4694.78:4694.78 4992.85:4992.85:4992.85 -IOPATH A[13] CO 5267.18:5267.18:5267.18 5538.83:5538.83:5538.83 -IOPATH A[13] SIGNEXTOUT 3949.19:3949.19:3949.19 4077.46:4077.46:4077.46 -IOPATH A[14] ACCUMCO 4728.02:4728.02:4728.02 5024.77:5024.77:5024.77 -IOPATH A[14] CO 5300.43:5300.43:5300.43 5570.76:5570.76:5570.76 -IOPATH A[14] SIGNEXTOUT 3985.87:3985.87:3985.87 4114.14:4114.14:4114.14 -IOPATH A[15] ACCUMCO 4750.93:4750.93:4750.93 5051.31:5051.31:5051.31 -IOPATH A[15] CO 5323.33:5323.33:5323.33 5597.29:5597.29:5597.29 -IOPATH A[15] SIGNEXTOUT 4007.62:4007.62:4007.62 4135.88:4135.88:4135.88 -IOPATH ADDSUBTOP ACCUMCO 1601.28:1601.28:1601.28 1890.89:1890.89:1890.89 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 -IOPATH B[8] ACCUMCO 4777.11:4777.11:4777.11 5119.93:5119.93:5119.93 -IOPATH B[8] CO 5349.51:5349.51:5349.51 5665.92:5665.92:5665.92 -IOPATH B[8] SIGNEXTOUT 4080.91:4080.91:4080.91 4209.18:4209.18:4209.18 -IOPATH B[9] ACCUMCO 5162.5:5162.5:5162.5 5453.35:5453.35:5453.35 -IOPATH B[9] CO 5734.9:5734.9:5734.9 5999.34:5999.34:5999.34 -IOPATH B[9] SIGNEXTOUT 4414.32:4414.32:4414.32 4542.58:4542.58:4542.58 -IOPATH B[10] ACCUMCO 4953.74:4953.74:4953.74 5316.1:5316.1:5316.1 -IOPATH B[10] CO 5526.14:5526.14:5526.14 5862.09:5862.09:5862.09 -IOPATH B[10] SIGNEXTOUT 4277.69:4277.69:4277.69 4405.96:4405.96:4405.96 -IOPATH B[11] ACCUMCO 5232.92:5232.92:5232.92 5395.07:5395.07:5395.07 -IOPATH B[11] CO 5805.32:5805.32:5805.32 5941.05:5941.05:5941.05 -IOPATH B[11] SIGNEXTOUT 4408.13:4408.13:4408.13 4536.39:4536.39:4536.39 -IOPATH B[12] ACCUMCO 4918.85:4918.85:4918.85 5225.77:5225.77:5225.77 -IOPATH B[12] CO 5491.26:5491.26:5491.26 5771.75:5771.75:5771.75 -IOPATH B[12] SIGNEXTOUT 4186.72:4186.72:4186.72 4314.99:4314.99:4314.99 -IOPATH B[13] ACCUMCO 4994.74:4994.74:4994.74 5241.39:5241.39:5241.39 -IOPATH B[13] CO 5567.15:5567.15:5567.15 5787.38:5787.38:5787.38 -IOPATH B[13] SIGNEXTOUT 4202.3:4202.3:4202.3 4330.56:4330.56:4330.56 -IOPATH B[14] ACCUMCO 4612.23:4612.23:4612.23 4895.33:4895.33:4895.33 -IOPATH B[14] CO 5184.64:5184.64:5184.64 5441.31:5441.31:5441.31 -IOPATH B[14] SIGNEXTOUT 3856.39:3856.39:3856.39 3984.65:3984.65:3984.65 -IOPATH B[15] ACCUMCO 5085.26:5085.26:5085.26 5496.73:5496.73:5496.73 -IOPATH B[15] CO 5657.67:5657.67:5657.67 6042.71:6042.71:6042.71 -IOPATH B[15] SIGNEXTOUT 4457.61:4457.61:4457.61 4585.88:4585.88:4585.88 -IOPATH posedge:CLK ACCUMCO 2021.44:2021.44:2021.44 2326.07:2326.07:2326.07 -IOPATH posedge:CLK CO 2593.85:2593.85:2593.85 2872.06:2872.06:2872.06 +SETUP posedge:OLOADTOP posedge:CLK 295.244:295.244:295.244 +IOPATH A[0] ACCUMCO 6903.83:6903.83:6903.83 7033.06:7033.06:7033.06 +IOPATH A[0] CO 7476.23:7476.23:7476.23 7579.05:7579.05:7579.05 +IOPATH A[0] SIGNEXTOUT 6144.77:6144.77:6144.77 6006.05:6006.05:6006.05 +IOPATH A[1] ACCUMCO 7081.42:7081.42:7081.42 7220.2:7220.2:7220.2 +IOPATH A[1] CO 7653.82:7653.82:7653.82 7766.19:7766.19:7766.19 +IOPATH A[1] SIGNEXTOUT 6322.39:6322.39:6322.39 6183.66:6183.66:6183.66 +IOPATH A[2] ACCUMCO 7097.43:7097.43:7097.43 7256.77:7256.77:7256.77 +IOPATH A[2] CO 7669.83:7669.83:7669.83 7802.76:7802.76:7802.76 +IOPATH A[2] SIGNEXTOUT 6338.43:6338.43:6338.43 6199.7:6199.7:6199.7 +IOPATH A[3] ACCUMCO 7150.9:7150.9:7150.9 7285.32:7285.32:7285.32 +IOPATH A[3] CO 7723.3:7723.3:7723.3 7831.31:7831.31:7831.31 +IOPATH A[3] SIGNEXTOUT 6391.91:6391.91:6391.91 6253.19:6253.19:6253.19 +IOPATH A[4] ACCUMCO 7323.78:7323.78:7323.78 7448.89:7448.89:7448.89 +IOPATH A[4] CO 7896.19:7896.19:7896.19 7994.88:7994.88:7994.88 +IOPATH A[4] SIGNEXTOUT 6564.79:6564.79:6564.79 6426.06:6426.06:6426.06 +IOPATH A[5] ACCUMCO 7474.36:7474.36:7474.36 7728.49:7728.49:7728.49 +IOPATH A[5] CO 8046.76:8046.76:8046.76 8274.48:8274.48:8274.48 +IOPATH A[5] SIGNEXTOUT 6804.92:6804.92:6804.92 6666.2:6666.2:6666.2 +IOPATH A[6] ACCUMCO 7324.06:7324.06:7324.06 7468.74:7468.74:7468.74 +IOPATH A[6] CO 7896.46:7896.46:7896.46 8014.73:8014.73:8014.73 +IOPATH A[6] SIGNEXTOUT 6565.04:6565.04:6565.04 6426.31:6426.31:6426.31 +IOPATH A[7] ACCUMCO 7372.92:7372.92:7372.92 7507.09:7507.09:7507.09 +IOPATH A[7] CO 7945.32:7945.32:7945.32 8053.08:8053.08:8053.08 +IOPATH A[7] SIGNEXTOUT 6614:6614:6614 6475.27:6475.27:6475.27 +IOPATH A[8] ACCUMCO 6581.36:6581.36:6581.36 6693.2:6693.2:6693.2 +IOPATH A[8] CO 7153.76:7153.76:7153.76 7239.19:7239.19:7239.19 +IOPATH A[8] SIGNEXTOUT 5822.32:5822.32:5822.32 5683.6:5683.6:5683.6 +IOPATH A[9] ACCUMCO 6838.61:6838.61:6838.61 6968.37:6968.37:6968.37 +IOPATH A[9] CO 7411.01:7411.01:7411.01 7514.36:7514.36:7514.36 +IOPATH A[9] SIGNEXTOUT 6079.65:6079.65:6079.65 5940.93:5940.93:5940.93 +IOPATH A[10] ACCUMCO 6771.77:6771.77:6771.77 6891.1:6891.1:6891.1 +IOPATH A[10] CO 7344.17:7344.17:7344.17 7437.09:7437.09:7437.09 +IOPATH A[10] SIGNEXTOUT 6012.76:6012.76:6012.76 5874.04:5874.04:5874.04 +IOPATH A[11] ACCUMCO 6712.57:6712.57:6712.57 6916.43:6916.43:6916.43 +IOPATH A[11] CO 7284.98:7284.98:7284.98 7462.42:7462.42:7462.42 +IOPATH A[11] SIGNEXTOUT 5992.91:5992.91:5992.91 5854.19:5854.19:5854.19 +IOPATH A[12] ACCUMCO 6928.7:6928.7:6928.7 7133.53:7133.53:7133.53 +IOPATH A[12] CO 7501.1:7501.1:7501.1 7679.51:7679.51:7679.51 +IOPATH A[12] SIGNEXTOUT 6210.01:6210.01:6210.01 6071.28:6071.28:6071.28 +IOPATH A[13] ACCUMCO 6938.88:6938.88:6938.88 7177.58:7177.58:7177.58 +IOPATH A[13] CO 7511.29:7511.29:7511.29 7723.57:7723.57:7723.57 +IOPATH A[13] SIGNEXTOUT 6254.07:6254.07:6254.07 6115.34:6115.34:6115.34 +IOPATH A[14] ACCUMCO 6990.05:6990.05:6990.05 7206.96:7206.96:7206.96 +IOPATH A[14] CO 7562.46:7562.46:7562.46 7752.95:7752.95:7752.95 +IOPATH A[14] SIGNEXTOUT 6288.2:6288.2:6288.2 6149.47:6149.47:6149.47 +IOPATH A[15] ACCUMCO 7428.04:7428.04:7428.04 7700.98:7700.98:7700.98 +IOPATH A[15] CO 8000.44:8000.44:8000.44 8246.97:8246.97:8246.97 +IOPATH A[15] SIGNEXTOUT 6777.43:6777.43:6777.43 6638.7:6638.7:6638.7 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 +IOPATH ADDSUBTOP ACCUMCO 1605.35:1605.35:1605.35 1893.05:1893.05:1893.05 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 +IOPATH B[0] ACCUMCO 7349.5:7349.5:7349.5 7672.97:7672.97:7672.97 +IOPATH B[0] CO 7921.91:7921.91:7921.91 8218.96:8218.96:8218.96 +IOPATH B[0] SIGNEXTOUT 6753.95:6753.95:6753.95 6615.23:6615.23:6615.23 +IOPATH B[1] ACCUMCO 7611.41:7611.41:7611.41 7934.87:7934.87:7934.87 +IOPATH B[1] CO 8183.81:8183.81:8183.81 8480.85:8480.85:8480.85 +IOPATH B[1] SIGNEXTOUT 7015.85:7015.85:7015.85 6877.13:6877.13:6877.13 +IOPATH B[2] ACCUMCO 7468.84:7468.84:7468.84 7796.83:7796.83:7796.83 +IOPATH B[2] CO 8041.24:8041.24:8041.24 8342.81:8342.81:8342.81 +IOPATH B[2] SIGNEXTOUT 6873.28:6873.28:6873.28 6734.56:6734.56:6734.56 +IOPATH B[3] ACCUMCO 7608.88:7608.88:7608.88 7875.52:7875.52:7875.52 +IOPATH B[3] CO 8181.28:8181.28:8181.28 8421.51:8421.51:8421.51 +IOPATH B[3] SIGNEXTOUT 6956.42:6956.42:6956.42 6817.69:6817.69:6817.69 +IOPATH B[4] ACCUMCO 7340.1:7340.1:7340.1 7663.59:7663.59:7663.59 +IOPATH B[4] CO 7912.51:7912.51:7912.51 8209.58:8209.58:8209.58 +IOPATH B[4] SIGNEXTOUT 6744.55:6744.55:6744.55 6605.83:6605.83:6605.83 +IOPATH B[5] ACCUMCO 7503.5:7503.5:7503.5 7826.84:7826.84:7826.84 +IOPATH B[5] CO 8075.9:8075.9:8075.9 8372.83:8372.83:8372.83 +IOPATH B[5] SIGNEXTOUT 6907.94:6907.94:6907.94 6769.22:6769.22:6769.22 +IOPATH B[6] ACCUMCO 7190.17:7190.17:7190.17 7493.83:7493.83:7493.83 +IOPATH B[6] CO 7762.57:7762.57:7762.57 8039.82:8039.82:8039.82 +IOPATH B[6] SIGNEXTOUT 6574.96:6574.96:6574.96 6436.23:6436.23:6436.23 +IOPATH B[7] ACCUMCO 7369.09:7369.09:7369.09 7692.57:7692.57:7692.57 +IOPATH B[7] CO 7941.5:7941.5:7941.5 8238.56:8238.56:8238.56 +IOPATH B[7] SIGNEXTOUT 6773.54:6773.54:6773.54 6634.81:6634.81:6634.81 +IOPATH B[8] ACCUMCO 6824.65:6824.65:6824.65 7126.82:7126.82:7126.82 +IOPATH B[8] CO 7397.05:7397.05:7397.05 7672.81:7672.81:7672.81 +IOPATH B[8] SIGNEXTOUT 6207.94:6207.94:6207.94 6069.22:6069.22:6069.22 +IOPATH B[9] ACCUMCO 7206.14:7206.14:7206.14 7459.72:7459.72:7459.72 +IOPATH B[9] CO 7778.54:7778.54:7778.54 8005.71:8005.71:8005.71 +IOPATH B[9] SIGNEXTOUT 6540.82:6540.82:6540.82 6402.1:6402.1:6402.1 +IOPATH B[10] ACCUMCO 6977.19:6977.19:6977.19 7295.35:7295.35:7295.35 +IOPATH B[10] CO 7549.59:7549.59:7549.59 7841.33:7841.33:7841.33 +IOPATH B[10] SIGNEXTOUT 6377.07:6377.07:6377.07 6238.35:6238.35:6238.35 +IOPATH B[11] ACCUMCO 7287.96:7287.96:7287.96 7457.24:7457.24:7457.24 +IOPATH B[11] CO 7860.37:7860.37:7860.37 8003.23:8003.23:8003.23 +IOPATH B[11] SIGNEXTOUT 6538.38:6538.38:6538.38 6399.66:6399.66:6399.66 +IOPATH B[12] ACCUMCO 6960.76:6960.76:6960.76 7204.15:7204.15:7204.15 +IOPATH B[12] CO 7533.17:7533.17:7533.17 7750.14:7750.14:7750.14 +IOPATH B[12] SIGNEXTOUT 6285.25:6285.25:6285.25 6146.52:6146.52:6146.52 +IOPATH B[13] ACCUMCO 7010.36:7010.36:7010.36 7247.34:7247.34:7247.34 +IOPATH B[13] CO 7582.76:7582.76:7582.76 7793.33:7793.33:7793.33 +IOPATH B[13] SIGNEXTOUT 6328.38:6328.38:6328.38 6189.66:6189.66:6189.66 +IOPATH B[14] ACCUMCO 6683.36:6683.36:6683.36 6933.69:6933.69:6933.69 +IOPATH B[14] CO 7255.76:7255.76:7255.76 7479.68:7479.68:7479.68 +IOPATH B[14] SIGNEXTOUT 6014.89:6014.89:6014.89 5876.16:5876.16:5876.16 +IOPATH B[15] ACCUMCO 7060.39:7060.39:7060.39 7383.81:7383.81:7383.81 +IOPATH B[15] CO 7632.79:7632.79:7632.79 7929.8:7929.8:7929.8 +IOPATH B[15] SIGNEXTOUT 6464.83:6464.83:6464.83 6326.11:6326.11:6326.11 +IOPATH posedge:CLK ACCUMCO 2625.63:2625.63:2625.63 2844.22:2844.22:2844.22 +IOPATH posedge:CLK CO 3198.03:3198.03:3198.03 3390.21:3390.21:3390.21 IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 +IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 @@ -4377,23 +6694,23 @@ IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267. IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 -IOPATH posedge:CLK O[17] 1249.56:1249.56:1249.56 1391.7:1391.7:1391.7 +IOPATH posedge:CLK O[17] 1246.21:1246.21:1246.21 1391.72:1391.72:1391.72 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 -IOPATH posedge:CLK O[19] 1381.94:1381.94:1381.94 1497.63:1497.63:1497.63 +IOPATH posedge:CLK O[19] 1374.53:1374.53:1374.53 1493.1:1493.1:1493.1 IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1239.34:1239.34:1239.34 1368.51:1368.51:1368.51 +IOPATH posedge:CLK O[26] 1237.15:1237.15:1237.15 1366.77:1366.77:1366.77 IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -CELL SB_MAC16_MAC_U_16X16_ALL_PIPELINE +CELL SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -4746,7 +7063,7 @@ IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142. IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 -CELL SB_MAC16_MAC_U_16X16_BYPASS +CELL SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -4764,25 +7081,25 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 63.821:63.821:63.821 +HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.318:199.318:199.318 +HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 -HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 +HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 +HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 +HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 @@ -4809,7 +7126,7 @@ HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 +HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 @@ -4817,7 +7134,7 @@ HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 @@ -4838,23 +7155,23 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 50.832:50.832:50.832 +HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.268:159.268:159.268 +HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 +HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 +HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 @@ -4883,318 +7200,223 @@ HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 +HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 201.971:201.971:201.971 +HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.188:171.188:171.188 -HOLD posedge:OLOADTOP posedge:CLK 162.623:162.623:162.623 -RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 -RECOVERY negedge:IRSTTOP posedge:CLK 848.859:848.859:848.859 -RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 -RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 -RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 -RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 -RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 -REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 -REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 -REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 -REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 -REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 -REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 -REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 -REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK 4365.53:4365.53:4365.53 -SETUP negedge:A[1] posedge:CLK 4502.59:4502.59:4502.59 -SETUP negedge:A[2] posedge:CLK 4512.03:4512.03:4512.03 -SETUP negedge:A[3] posedge:CLK 4543.49:4543.49:4543.49 -SETUP negedge:A[4] posedge:CLK 4645.18:4645.18:4645.18 -SETUP negedge:A[5] posedge:CLK 4733.69:4733.69:4733.69 -SETUP negedge:A[6] posedge:CLK 4645.33:4645.33:4645.33 -SETUP negedge:A[7] posedge:CLK 4674.13:4674.13:4674.13 -SETUP negedge:A[8] posedge:CLK 3846.55:3846.55:3846.55 -SETUP negedge:A[9] posedge:CLK 3997.92:3997.92:3997.92 -SETUP negedge:A[10] posedge:CLK 3958.58:3958.58:3958.58 -SETUP negedge:A[11] posedge:CLK 3923.77:3923.77:3923.77 -SETUP negedge:A[12] posedge:CLK 4050.9:4050.9:4050.9 -SETUP negedge:A[13] posedge:CLK 4056.9:4056.9:4056.9 -SETUP negedge:A[14] posedge:CLK 4087.04:4087.04:4087.04 -SETUP negedge:A[15] posedge:CLK 4344.59:4344.59:4344.59 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.09:1384.09:1384.09 +HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 +HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 +RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 +RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 +RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 +RECOVERY posedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL negedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL negedge:ORSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 +REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 +REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 +SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 +SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 +SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 +SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 +SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK 4655.83:4655.83:4655.83 -SETUP negedge:B[1] posedge:CLK 4795.05:4795.05:4795.05 -SETUP negedge:B[2] posedge:CLK 4717.56:4717.56:4717.56 -SETUP negedge:B[3] posedge:CLK 4815.11:4815.11:4815.11 -SETUP negedge:B[4] posedge:CLK 4634.91:4634.91:4634.91 -SETUP negedge:B[5] posedge:CLK 4746.43:4746.43:4746.43 -SETUP negedge:B[6] posedge:CLK 4396.14:4396.14:4396.14 -SETUP negedge:B[7] posedge:CLK 4498.31:4498.31:4498.31 -SETUP negedge:B[8] posedge:CLK 3989.68:3989.68:3989.68 -SETUP negedge:B[9] posedge:CLK 4214.07:4214.07:4214.07 -SETUP negedge:B[10] posedge:CLK 4079.48:4079.48:4079.48 -SETUP negedge:B[11] posedge:CLK 4262.22:4262.22:4262.22 -SETUP negedge:B[12] posedge:CLK 4069.73:4069.73:4069.73 -SETUP negedge:B[13] posedge:CLK 4098.93:4098.93:4098.93 -SETUP negedge:B[14] posedge:CLK 3906.61:3906.61:3906.61 -SETUP negedge:B[15] posedge:CLK 4035.05:4035.05:4035.05 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK 171.396:171.396:171.396 -SETUP negedge:C[1] posedge:CLK 164.027:164.027:164.027 -SETUP negedge:C[2] posedge:CLK 150.818:150.818:150.818 -SETUP negedge:C[3] posedge:CLK 136.05:136.05:136.05 -SETUP negedge:C[4] posedge:CLK 149.551:149.551:149.551 -SETUP negedge:C[5] posedge:CLK 131.498:131.498:131.498 -SETUP negedge:C[6] posedge:CLK 163.777:163.777:163.777 -SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 -SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 -SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 -SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 -SETUP negedge:C[11] posedge:CLK 151.422:151.422:151.422 -SETUP negedge:C[12] posedge:CLK 139.937:139.937:139.937 -SETUP negedge:C[13] posedge:CLK 152.446:152.446:152.446 -SETUP negedge:C[14] posedge:CLK 143.409:143.409:143.409 -SETUP negedge:C[15] posedge:CLK 134.888:134.888:134.888 +SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 +SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 +SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 +SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 +SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 +SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 +SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 +SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 +SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 +SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 +SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 +SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 +SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 +SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 +SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 +SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 +SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 +SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 +SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK 217.315:217.315:217.315 -SETUP negedge:D[1] posedge:CLK 188.659:188.659:188.659 -SETUP negedge:D[2] posedge:CLK 174.382:174.382:174.382 -SETUP negedge:D[3] posedge:CLK 135.27:135.27:135.27 -SETUP negedge:D[4] posedge:CLK 177.297:177.297:177.297 -SETUP negedge:D[5] posedge:CLK 191.515:191.515:191.515 -SETUP negedge:D[6] posedge:CLK 155.319:155.319:155.319 -SETUP negedge:D[7] posedge:CLK 151.097:151.097:151.097 -SETUP negedge:D[8] posedge:CLK 150.083:150.083:150.083 -SETUP negedge:D[9] posedge:CLK 148.149:148.149:148.149 -SETUP negedge:D[10] posedge:CLK 169.739:169.739:169.739 -SETUP negedge:D[11] posedge:CLK 143.406:143.406:143.406 -SETUP negedge:D[12] posedge:CLK 141.623:141.623:141.623 -SETUP negedge:D[13] posedge:CLK 109.478:109.478:109.478 -SETUP negedge:D[14] posedge:CLK 175.064:175.064:175.064 -SETUP negedge:D[15] posedge:CLK 107.673:107.673:107.673 +SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 +SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 +SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 +SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 +SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 +SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 +SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 +SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 +SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 +SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 +SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 +SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 +SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 +SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 +SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.586:325.586:325.586 -SETUP negedge:OHOLDTOP posedge:CLK 221.055:221.055:221.055 -SETUP negedge:OLOADBOT posedge:CLK 342.274:342.274:342.274 -SETUP negedge:OLOADTOP posedge:CLK 276.508:276.508:276.508 -SETUP posedge:A[0] posedge:CLK 4341.23:4341.23:4341.23 -SETUP posedge:A[1] posedge:CLK 4487.46:4487.46:4487.46 -SETUP posedge:A[2] posedge:CLK 4508.98:4508.98:4508.98 -SETUP posedge:A[3] posedge:CLK 4528.53:4528.53:4528.53 -SETUP posedge:A[4] posedge:CLK 4621.99:4621.99:4621.99 -SETUP posedge:A[5] posedge:CLK 4786.44:4786.44:4786.44 -SETUP posedge:A[6] posedge:CLK 4636.4:4636.4:4636.4 -SETUP posedge:A[7] posedge:CLK 4659.01:4659.01:4659.01 -SETUP posedge:A[8] posedge:CLK 3815.58:3815.58:3815.58 -SETUP posedge:A[9] posedge:CLK 3977.46:3977.46:3977.46 -SETUP posedge:A[10] posedge:CLK 3932:3932:3932 -SETUP posedge:A[11] posedge:CLK 3946.9:3946.9:3946.9 -SETUP posedge:A[12] posedge:CLK 4074.6:4074.6:4074.6 -SETUP posedge:A[13] posedge:CLK 4100.52:4100.52:4100.52 -SETUP posedge:A[14] posedge:CLK 4120.6:4120.6:4120.6 -SETUP posedge:A[15] posedge:CLK 4408.38:4408.38:4408.38 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.59:1420.59:1420.59 +SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 +SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 +SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 +SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 +SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 +SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 +SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 +SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 +SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 +SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK 4756.45:4756.45:4756.45 -SETUP posedge:B[1] posedge:CLK 4910.51:4910.51:4910.51 -SETUP posedge:B[2] posedge:CLK 4826.65:4826.65:4826.65 -SETUP posedge:B[3] posedge:CLK 4875.55:4875.55:4875.55 -SETUP posedge:B[4] posedge:CLK 4750.92:4750.92:4750.92 -SETUP posedge:B[5] posedge:CLK 4799.81:4799.81:4799.81 -SETUP posedge:B[6] posedge:CLK 4483.34:4483.34:4483.34 -SETUP posedge:B[7] posedge:CLK 4597.68:4597.68:4597.68 -SETUP posedge:B[8] posedge:CLK 4073.39:4073.39:4073.39 -SETUP posedge:B[9] posedge:CLK 4269.2:4269.2:4269.2 -SETUP posedge:B[10] posedge:CLK 4172.88:4172.88:4172.88 -SETUP posedge:B[11] posedge:CLK 4267.76:4267.76:4267.76 -SETUP posedge:B[12] posedge:CLK 4118.86:4118.86:4118.86 -SETUP posedge:B[13] posedge:CLK 4144.24:4144.24:4144.24 -SETUP posedge:B[14] posedge:CLK 3959.82:3959.82:3959.82 -SETUP posedge:B[15] posedge:CLK 4224.5:4224.5:4224.5 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK 151.557:151.557:151.557 -SETUP posedge:C[1] posedge:CLK 167.895:167.895:167.895 -SETUP posedge:C[2] posedge:CLK 145.566:145.566:145.566 -SETUP posedge:C[3] posedge:CLK 145.974:145.974:145.974 -SETUP posedge:C[4] posedge:CLK 150.915:150.915:150.915 -SETUP posedge:C[5] posedge:CLK 124.838:124.838:124.838 -SETUP posedge:C[6] posedge:CLK 151.905:151.905:151.905 -SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 -SETUP posedge:C[8] posedge:CLK 134.633:134.633:134.633 -SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 -SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 -SETUP posedge:C[11] posedge:CLK 129.775:129.775:129.775 -SETUP posedge:C[12] posedge:CLK 123.376:123.376:123.376 -SETUP posedge:C[13] posedge:CLK 141.463:141.463:141.463 -SETUP posedge:C[14] posedge:CLK 125.507:125.507:125.507 -SETUP posedge:C[15] posedge:CLK 130.431:130.431:130.431 +SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 +SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 +SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 +SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 +SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 +SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 +SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 +SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 +SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 +SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 +SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 +SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 +SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 +SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 +SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 +SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 +SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 +SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 +SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 +SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 +SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 +SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 +SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK 192.087:192.087:192.087 -SETUP posedge:D[1] posedge:CLK 190.974:190.974:190.974 -SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 -SETUP posedge:D[3] posedge:CLK 127.902:127.902:127.902 -SETUP posedge:D[4] posedge:CLK 168.714:168.714:168.714 -SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 -SETUP posedge:D[6] posedge:CLK 131.91:131.91:131.91 -SETUP posedge:D[7] posedge:CLK 156.312:156.312:156.312 -SETUP posedge:D[8] posedge:CLK 137.912:137.912:137.912 -SETUP posedge:D[9] posedge:CLK 137.991:137.991:137.991 -SETUP posedge:D[10] posedge:CLK 151.221:151.221:151.221 -SETUP posedge:D[11] posedge:CLK 126.789:126.789:126.789 -SETUP posedge:D[12] posedge:CLK 133.138:133.138:133.138 -SETUP posedge:D[13] posedge:CLK 103.753:103.753:103.753 -SETUP posedge:D[14] posedge:CLK 166.767:166.767:166.767 -SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 +SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 +SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 +SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 +SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 +SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 +SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 +SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 +SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 +SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 +SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 +SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 +SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 +SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 +SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.636:261.636:261.636 -SETUP posedge:OHOLDTOP posedge:CLK 160.167:160.167:160.167 +SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.244:295.244:295.244 -IOPATH A[0] ACCUMCO 6903.83:6903.83:6903.83 7033.06:7033.06:7033.06 -IOPATH A[0] CO 7476.23:7476.23:7476.23 7579.05:7579.05:7579.05 -IOPATH A[0] SIGNEXTOUT 6144.77:6144.77:6144.77 6006.05:6006.05:6006.05 -IOPATH A[1] ACCUMCO 7081.42:7081.42:7081.42 7220.2:7220.2:7220.2 -IOPATH A[1] CO 7653.82:7653.82:7653.82 7766.19:7766.19:7766.19 -IOPATH A[1] SIGNEXTOUT 6322.39:6322.39:6322.39 6183.66:6183.66:6183.66 -IOPATH A[2] ACCUMCO 7097.43:7097.43:7097.43 7256.77:7256.77:7256.77 -IOPATH A[2] CO 7669.83:7669.83:7669.83 7802.76:7802.76:7802.76 -IOPATH A[2] SIGNEXTOUT 6338.43:6338.43:6338.43 6199.7:6199.7:6199.7 -IOPATH A[3] ACCUMCO 7150.9:7150.9:7150.9 7285.32:7285.32:7285.32 -IOPATH A[3] CO 7723.3:7723.3:7723.3 7831.31:7831.31:7831.31 -IOPATH A[3] SIGNEXTOUT 6391.91:6391.91:6391.91 6253.19:6253.19:6253.19 -IOPATH A[4] ACCUMCO 7323.78:7323.78:7323.78 7448.89:7448.89:7448.89 -IOPATH A[4] CO 7896.19:7896.19:7896.19 7994.88:7994.88:7994.88 -IOPATH A[4] SIGNEXTOUT 6564.79:6564.79:6564.79 6426.06:6426.06:6426.06 -IOPATH A[5] ACCUMCO 7474.36:7474.36:7474.36 7728.49:7728.49:7728.49 -IOPATH A[5] CO 8046.76:8046.76:8046.76 8274.48:8274.48:8274.48 -IOPATH A[5] SIGNEXTOUT 6804.92:6804.92:6804.92 6666.2:6666.2:6666.2 -IOPATH A[6] ACCUMCO 7324.06:7324.06:7324.06 7468.74:7468.74:7468.74 -IOPATH A[6] CO 7896.46:7896.46:7896.46 8014.73:8014.73:8014.73 -IOPATH A[6] SIGNEXTOUT 6565.04:6565.04:6565.04 6426.31:6426.31:6426.31 -IOPATH A[7] ACCUMCO 7372.92:7372.92:7372.92 7507.09:7507.09:7507.09 -IOPATH A[7] CO 7945.32:7945.32:7945.32 8053.08:8053.08:8053.08 -IOPATH A[7] SIGNEXTOUT 6614:6614:6614 6475.27:6475.27:6475.27 -IOPATH A[8] ACCUMCO 6581.36:6581.36:6581.36 6693.2:6693.2:6693.2 -IOPATH A[8] CO 7153.76:7153.76:7153.76 7239.19:7239.19:7239.19 -IOPATH A[8] SIGNEXTOUT 5822.32:5822.32:5822.32 5683.6:5683.6:5683.6 -IOPATH A[9] ACCUMCO 6838.61:6838.61:6838.61 6968.37:6968.37:6968.37 -IOPATH A[9] CO 7411.01:7411.01:7411.01 7514.36:7514.36:7514.36 -IOPATH A[9] SIGNEXTOUT 6079.65:6079.65:6079.65 5940.93:5940.93:5940.93 -IOPATH A[10] ACCUMCO 6771.77:6771.77:6771.77 6891.1:6891.1:6891.1 -IOPATH A[10] CO 7344.17:7344.17:7344.17 7437.09:7437.09:7437.09 -IOPATH A[10] SIGNEXTOUT 6012.76:6012.76:6012.76 5874.04:5874.04:5874.04 -IOPATH A[11] ACCUMCO 6712.57:6712.57:6712.57 6916.43:6916.43:6916.43 -IOPATH A[11] CO 7284.98:7284.98:7284.98 7462.42:7462.42:7462.42 -IOPATH A[11] SIGNEXTOUT 5992.91:5992.91:5992.91 5854.19:5854.19:5854.19 -IOPATH A[12] ACCUMCO 6928.7:6928.7:6928.7 7133.53:7133.53:7133.53 -IOPATH A[12] CO 7501.1:7501.1:7501.1 7679.51:7679.51:7679.51 -IOPATH A[12] SIGNEXTOUT 6210.01:6210.01:6210.01 6071.28:6071.28:6071.28 -IOPATH A[13] ACCUMCO 6938.88:6938.88:6938.88 7177.58:7177.58:7177.58 -IOPATH A[13] CO 7511.29:7511.29:7511.29 7723.57:7723.57:7723.57 -IOPATH A[13] SIGNEXTOUT 6254.07:6254.07:6254.07 6115.34:6115.34:6115.34 -IOPATH A[14] ACCUMCO 6990.05:6990.05:6990.05 7206.96:7206.96:7206.96 -IOPATH A[14] CO 7562.46:7562.46:7562.46 7752.95:7752.95:7752.95 -IOPATH A[14] SIGNEXTOUT 6288.2:6288.2:6288.2 6149.47:6149.47:6149.47 -IOPATH A[15] ACCUMCO 7428.04:7428.04:7428.04 7700.98:7700.98:7700.98 -IOPATH A[15] CO 8000.44:8000.44:8000.44 8246.97:8246.97:8246.97 -IOPATH A[15] SIGNEXTOUT 6777.43:6777.43:6777.43 6638.7:6638.7:6638.7 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.35:1605.35:1605.35 1893.05:1893.05:1893.05 -IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.116:449.116:449.116 -IOPATH B[0] ACCUMCO 7349.5:7349.5:7349.5 7672.97:7672.97:7672.97 -IOPATH B[0] CO 7921.91:7921.91:7921.91 8218.96:8218.96:8218.96 -IOPATH B[0] SIGNEXTOUT 6753.95:6753.95:6753.95 6615.23:6615.23:6615.23 -IOPATH B[1] ACCUMCO 7611.41:7611.41:7611.41 7934.87:7934.87:7934.87 -IOPATH B[1] CO 8183.81:8183.81:8183.81 8480.85:8480.85:8480.85 -IOPATH B[1] SIGNEXTOUT 7015.85:7015.85:7015.85 6877.13:6877.13:6877.13 -IOPATH B[2] ACCUMCO 7468.84:7468.84:7468.84 7796.83:7796.83:7796.83 -IOPATH B[2] CO 8041.24:8041.24:8041.24 8342.81:8342.81:8342.81 -IOPATH B[2] SIGNEXTOUT 6873.28:6873.28:6873.28 6734.56:6734.56:6734.56 -IOPATH B[3] ACCUMCO 7608.88:7608.88:7608.88 7875.52:7875.52:7875.52 -IOPATH B[3] CO 8181.28:8181.28:8181.28 8421.51:8421.51:8421.51 -IOPATH B[3] SIGNEXTOUT 6956.42:6956.42:6956.42 6817.69:6817.69:6817.69 -IOPATH B[4] ACCUMCO 7340.1:7340.1:7340.1 7663.59:7663.59:7663.59 -IOPATH B[4] CO 7912.51:7912.51:7912.51 8209.58:8209.58:8209.58 -IOPATH B[4] SIGNEXTOUT 6744.55:6744.55:6744.55 6605.83:6605.83:6605.83 -IOPATH B[5] ACCUMCO 7503.5:7503.5:7503.5 7826.84:7826.84:7826.84 -IOPATH B[5] CO 8075.9:8075.9:8075.9 8372.83:8372.83:8372.83 -IOPATH B[5] SIGNEXTOUT 6907.94:6907.94:6907.94 6769.22:6769.22:6769.22 -IOPATH B[6] ACCUMCO 7190.17:7190.17:7190.17 7493.83:7493.83:7493.83 -IOPATH B[6] CO 7762.57:7762.57:7762.57 8039.82:8039.82:8039.82 -IOPATH B[6] SIGNEXTOUT 6574.96:6574.96:6574.96 6436.23:6436.23:6436.23 -IOPATH B[7] ACCUMCO 7369.09:7369.09:7369.09 7692.57:7692.57:7692.57 -IOPATH B[7] CO 7941.5:7941.5:7941.5 8238.56:8238.56:8238.56 -IOPATH B[7] SIGNEXTOUT 6773.54:6773.54:6773.54 6634.81:6634.81:6634.81 -IOPATH B[8] ACCUMCO 6824.65:6824.65:6824.65 7126.82:7126.82:7126.82 -IOPATH B[8] CO 7397.05:7397.05:7397.05 7672.81:7672.81:7672.81 -IOPATH B[8] SIGNEXTOUT 6207.94:6207.94:6207.94 6069.22:6069.22:6069.22 -IOPATH B[9] ACCUMCO 7206.14:7206.14:7206.14 7459.72:7459.72:7459.72 -IOPATH B[9] CO 7778.54:7778.54:7778.54 8005.71:8005.71:8005.71 -IOPATH B[9] SIGNEXTOUT 6540.82:6540.82:6540.82 6402.1:6402.1:6402.1 -IOPATH B[10] ACCUMCO 6977.19:6977.19:6977.19 7295.35:7295.35:7295.35 -IOPATH B[10] CO 7549.59:7549.59:7549.59 7841.33:7841.33:7841.33 -IOPATH B[10] SIGNEXTOUT 6377.07:6377.07:6377.07 6238.35:6238.35:6238.35 -IOPATH B[11] ACCUMCO 7287.96:7287.96:7287.96 7457.24:7457.24:7457.24 -IOPATH B[11] CO 7860.37:7860.37:7860.37 8003.23:8003.23:8003.23 -IOPATH B[11] SIGNEXTOUT 6538.38:6538.38:6538.38 6399.66:6399.66:6399.66 -IOPATH B[12] ACCUMCO 6960.76:6960.76:6960.76 7204.15:7204.15:7204.15 -IOPATH B[12] CO 7533.17:7533.17:7533.17 7750.14:7750.14:7750.14 -IOPATH B[12] SIGNEXTOUT 6285.25:6285.25:6285.25 6146.52:6146.52:6146.52 -IOPATH B[13] ACCUMCO 7010.36:7010.36:7010.36 7247.34:7247.34:7247.34 -IOPATH B[13] CO 7582.76:7582.76:7582.76 7793.33:7793.33:7793.33 -IOPATH B[13] SIGNEXTOUT 6328.38:6328.38:6328.38 6189.66:6189.66:6189.66 -IOPATH B[14] ACCUMCO 6683.36:6683.36:6683.36 6933.69:6933.69:6933.69 -IOPATH B[14] CO 7255.76:7255.76:7255.76 7479.68:7479.68:7479.68 -IOPATH B[14] SIGNEXTOUT 6014.89:6014.89:6014.89 5876.16:5876.16:5876.16 -IOPATH B[15] ACCUMCO 7060.39:7060.39:7060.39 7383.81:7383.81:7383.81 -IOPATH B[15] CO 7632.79:7632.79:7632.79 7929.8:7929.8:7929.8 -IOPATH B[15] SIGNEXTOUT 6464.83:6464.83:6464.83 6326.11:6326.11:6326.11 -IOPATH posedge:CLK ACCUMCO 2625.63:2625.63:2625.63 2844.22:2844.22:2844.22 -IOPATH posedge:CLK CO 3198.03:3198.03:3198.03 3390.21:3390.21:3390.21 -IOPATH posedge:CLK O[0] 984.982:984.982:984.982 1024.49:1024.49:1024.49 -IOPATH posedge:CLK O[1] 977.566:977.566:977.566 993.269:993.269:993.269 +SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 +IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 +IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 +IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 +IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 +IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.69:1085.69:1085.69 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.06:1007.06:1007.06 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.71:1027.71:1027.71 1080.45:1080.45:1080.45 +IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 +IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 +IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.67:1185.67:1185.67 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1184.3:1184.3:1184.3 1310.03:1310.03:1310.03 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.36:1322.36:1322.36 1412.62:1412.62:1412.62 +IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 +IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 +IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.33:1173.33:1173.33 1267.56:1267.56:1267.56 -IOPATH posedge:CLK O[14] 1264.14:1264.14:1264.14 1366.3:1366.3:1366.3 -IOPATH posedge:CLK O[15] 1277.87:1277.87:1277.87 1376:1376:1376 -IOPATH posedge:CLK O[16] 1254.82:1254.82:1254.82 1419.62:1419.62:1419.62 -IOPATH posedge:CLK O[17] 1246.21:1246.21:1246.21 1391.72:1391.72:1391.72 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.22:1478.22:1478.22 -IOPATH posedge:CLK O[19] 1374.53:1374.53:1374.53 1493.1:1493.1:1493.1 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1389.69:1389.69:1389.69 -IOPATH posedge:CLK O[21] 1171.05:1171.05:1171.05 1248.86:1248.86:1248.86 +IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 +IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 +IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 +IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 +IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.44:1189.44:1189.44 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1159.95:1159.95:1159.95 1242.3:1242.3:1242.3 +IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.15:1237.15:1237.15 1366.77:1366.77:1366.77 -IOPATH posedge:CLK O[27] 1151.38:1151.38:1151.38 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.33:1197.33:1197.33 +IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 +IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 +IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 -CELL SB_MAC16_MAC_U_16X16_CASC_ALL_PIPELINE +CELL SB_MAC16_MAC_U_16X16_IM_BYPASS HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -5214,7 +7436,7 @@ HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 +HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 @@ -5257,7 +7479,7 @@ HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.317:173.317:173.317 +HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 @@ -5265,7 +7487,7 @@ HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 +HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 @@ -5288,7 +7510,7 @@ HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 +HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 @@ -5331,7 +7553,7 @@ HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.177:136.177:136.177 +HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 @@ -5339,7 +7561,7 @@ HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 +HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 @@ -5364,37 +7586,37 @@ SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 +SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 -SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 +SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 -SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 +SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 +SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 +SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 +SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.683:-49.683:-49.683 +SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.964:-21.964:-21.964 +SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 @@ -5421,7 +7643,7 @@ SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.781:-29.781:-29.781 +SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 @@ -5429,7 +7651,7 @@ SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 +SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 @@ -5438,37 +7660,37 @@ SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.991:-25.991:-25.991 +SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 +SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 -SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 -SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 +SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 +SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 +SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 +SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 +SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 +SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 -SETUP posedge:B[10] posedge:CLK 1.876:1.876:1.876 -SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 +SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 +SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 @@ -5495,7 +7717,7 @@ SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.422:-11.422:-11.422 +SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 @@ -5503,16 +7725,16 @@ SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 +SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 -IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 +IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 +IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 -IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 +IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 @@ -5523,31 +7745,31 @@ IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216. IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.43:1143.43:1143.43 1222.86:1222.86:1222.86 +IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 -IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 +IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 +IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 +IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 +IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 +IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 +IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 -CELL SB_MAC16_MAC_U_16X16_CIN_ALL_PIPELINE +CELL SB_MAC16_MAS_U_8X8_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 @@ -5564,8 +7786,8 @@ HOLD negedge:A[12] posedge:CLK 171.708:171.708:171.708 HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 -HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:ADDSUBBOT posedge:CLK 68.653:68.653:68.653 +HOLD negedge:ADDSUBTOP posedge:CLK 64.575:64.575:64.575 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 HOLD negedge:B[0] posedge:CLK 199.302:199.302:199.302 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 @@ -5621,7 +7843,7 @@ HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 HOLD negedge:OHOLDBOT posedge:CLK 157.23:157.23:157.23 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 @@ -5638,8 +7860,8 @@ HOLD posedge:A[12] posedge:CLK 133.14:133.14:133.14 HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 -HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:ADDSUBBOT posedge:CLK 57.867:57.867:57.867 +HOLD posedge:ADDSUBTOP posedge:CLK 52.175:52.175:52.175 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 HOLD posedge:B[0] posedge:CLK 159.228:159.228:159.228 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 @@ -5695,7 +7917,7 @@ HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 HOLD posedge:OHOLDBOT posedge:CLK 187.889:187.889:187.889 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 +HOLD posedge:OLOADTOP posedge:CLK 164.919:164.919:164.919 RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 @@ -5728,8 +7950,8 @@ SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.32:1948.32:1948.32 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.04:1371.04:1371.04 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.42:1376.42:1376.42 SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 SETUP negedge:B[0] posedge:CLK -54.519:-54.519:-54.519 SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 @@ -5784,8 +8006,8 @@ SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 SETUP negedge:OHOLDBOT posedge:CLK 325.706:325.706:325.706 SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP negedge:OLOADBOT posedge:CLK 343.702:343.702:343.702 +SETUP negedge:OLOADTOP posedge:CLK 278.309:278.309:278.309 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 @@ -5802,8 +8024,8 @@ SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.52:1990.52:1990.52 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1414.31:1414.31:1414.31 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 SETUP posedge:B[0] posedge:CLK -36.943:-36.943:-36.943 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 @@ -5859,20 +8081,18 @@ SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 SETUP posedge:OHOLDBOT posedge:CLK 261.787:261.787:261.787 SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.64:2256.64:2256.64 2495.99:2495.99:2495.99 -IOPATH ADDSUBBOT CO 2829.04:2829.04:2829.04 3041.98:3041.98:3041.98 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.368:449.368:449.368 -IOPATH posedge:CLK ACCUMCO 2790.36:2790.36:2790.36 3052.16:3052.16:3052.16 -IOPATH posedge:CLK CO 3362.77:3362.77:3362.77 3598.15:3598.15:3598.15 +SETUP posedge:OLOADTOP posedge:CLK 296.117:296.117:296.117 +IOPATH ADDSUBTOP ACCUMCO 1601.77:1601.77:1601.77 1891.37:1891.37:1891.37 +IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 +IOPATH posedge:CLK ACCUMCO 2239.12:2239.12:2239.12 2541.75:2541.75:2541.75 +IOPATH posedge:CLK CO 2811.52:2811.52:2811.52 3087.74:3087.74:3087.74 IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 +IOPATH posedge:CLK O[6] 1150.43:1150.43:1150.43 1219.65:1219.65:1219.65 IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 @@ -5883,32 +8103,32 @@ IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267. IOPATH posedge:CLK O[14] 1265.57:1265.57:1265.57 1367.23:1367.23:1367.23 IOPATH posedge:CLK O[15] 1278.1:1278.1:1278.1 1375.74:1375.74:1375.74 IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 +IOPATH posedge:CLK O[17] 1249.55:1249.55:1249.55 1392.63:1392.63:1392.63 IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 +IOPATH posedge:CLK O[19] 1382.82:1382.82:1382.82 1498.84:1498.84:1498.84 IOPATH posedge:CLK O[20] 1294.38:1294.38:1294.38 1390.33:1390.33:1390.33 IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 IOPATH posedge:CLK O[23] 1190.05:1190.05:1190.05 1285.92:1285.92:1285.92 IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 +IOPATH posedge:CLK O[26] 1239.65:1239.65:1239.65 1370.67:1370.67:1370.67 IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 IOPATH posedge:CLK O[28] 1130.93:1130.93:1130.93 1205.02:1205.02:1205.02 IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.81:1340.81:1340.81 1272.92:1272.92:1272.92 +IOPATH posedge:CLK SIGNEXTOUT 1254.72:1254.72:1254.72 1376.9:1376.9:1376.9 -CELL SB_MAC16_MAC_U_16X16_IM_BYPASS +CELL SB_MAC16_MUL_S_8X8_ALL_PIPELINE HOLD negedge:A[0] posedge:CLK 225.246:225.246:225.246 HOLD negedge:A[1] posedge:CLK 221.741:221.741:221.741 HOLD negedge:A[2] posedge:CLK 215.99:215.99:215.99 HOLD negedge:A[3] posedge:CLK 216.2:216.2:216.2 HOLD negedge:A[4] posedge:CLK 217.945:217.945:217.945 -HOLD negedge:A[5] posedge:CLK 183.875:183.875:183.875 +HOLD negedge:A[5] posedge:CLK 183.874:183.874:183.874 HOLD negedge:A[6] posedge:CLK 221.084:221.084:221.084 -HOLD negedge:A[7] posedge:CLK 212.786:212.786:212.786 +HOLD negedge:A[7] posedge:CLK 210.785:210.785:210.785 HOLD negedge:A[8] posedge:CLK 180.124:180.124:180.124 HOLD negedge:A[9] posedge:CLK 168.61:168.61:168.61 HOLD negedge:A[10] posedge:CLK 177.622:177.622:177.622 @@ -5918,31 +8138,31 @@ HOLD negedge:A[13] posedge:CLK 171.794:171.794:171.794 HOLD negedge:A[14] posedge:CLK 166.812:166.812:166.812 HOLD negedge:A[15] posedge:CLK 182.546:182.546:182.546 HOLD negedge:ADDSUBBOT posedge:CLK 68.98:68.98:68.98 -HOLD negedge:ADDSUBTOP posedge:CLK 64.071:64.071:64.071 +HOLD negedge:ADDSUBTOP posedge:CLK 64.403:64.403:64.403 HOLD negedge:AHOLD posedge:CLK 203.028:203.028:203.028 -HOLD negedge:B[0] posedge:CLK 199.317:199.317:199.317 +HOLD negedge:B[0] posedge:CLK 199.303:199.303:199.303 HOLD negedge:B[1] posedge:CLK 205.007:205.007:205.007 -HOLD negedge:B[2] posedge:CLK 195.006:195.006:195.006 -HOLD negedge:B[3] posedge:CLK 199.769:199.769:199.769 -HOLD negedge:B[4] posedge:CLK 201.609:201.609:201.609 +HOLD negedge:B[2] posedge:CLK 194.98:194.98:194.98 +HOLD negedge:B[3] posedge:CLK 199.743:199.743:199.743 +HOLD negedge:B[4] posedge:CLK 201.607:201.607:201.607 HOLD negedge:B[5] posedge:CLK 188.274:188.274:188.274 HOLD negedge:B[6] posedge:CLK 183.668:183.668:183.668 -HOLD negedge:B[7] posedge:CLK 183.878:183.878:183.878 +HOLD negedge:B[7] posedge:CLK 183.88:183.88:183.88 HOLD negedge:B[8] posedge:CLK 181.905:181.905:181.905 HOLD negedge:B[9] posedge:CLK 194.213:194.213:194.213 HOLD negedge:B[10] posedge:CLK 166.869:166.869:166.869 HOLD negedge:B[11] posedge:CLK 178.724:178.724:178.724 HOLD negedge:B[12] posedge:CLK 188.759:188.759:188.759 HOLD negedge:B[13] posedge:CLK 178.851:178.851:178.851 -HOLD negedge:B[14] posedge:CLK 169.754:169.754:169.754 -HOLD negedge:B[15] posedge:CLK 196.689:196.689:196.689 -HOLD negedge:BHOLD posedge:CLK 177.169:177.169:177.169 +HOLD negedge:B[14] posedge:CLK 169.756:169.756:169.756 +HOLD negedge:B[15] posedge:CLK 196.691:196.691:196.691 +HOLD negedge:BHOLD posedge:CLK 177.143:177.143:177.143 HOLD negedge:C[0] posedge:CLK 238.019:238.019:238.019 HOLD negedge:C[1] posedge:CLK 241.19:241.19:241.19 -HOLD negedge:C[2] posedge:CLK 236.487:236.487:236.487 +HOLD negedge:C[2] posedge:CLK 236.127:236.127:236.127 HOLD negedge:C[3] posedge:CLK 233.38:233.38:233.38 HOLD negedge:C[4] posedge:CLK 234.834:234.834:234.834 -HOLD negedge:C[5] posedge:CLK 237.059:237.059:237.059 +HOLD negedge:C[5] posedge:CLK 236.307:236.307:236.307 HOLD negedge:C[6] posedge:CLK 235.288:235.288:235.288 HOLD negedge:C[7] posedge:CLK 240.096:240.096:240.096 HOLD negedge:C[8] posedge:CLK 237.083:237.083:237.083 @@ -5956,33 +8176,33 @@ HOLD negedge:C[15] posedge:CLK 235.549:235.549:235.549 HOLD negedge:CHOLD posedge:CLK 215.429:215.429:215.429 HOLD negedge:D[0] posedge:CLK 190.878:190.878:190.878 HOLD negedge:D[1] posedge:CLK 185.317:185.317:185.317 -HOLD negedge:D[2] posedge:CLK 184.125:184.125:184.125 +HOLD negedge:D[2] posedge:CLK 184.113:184.113:184.113 HOLD negedge:D[3] posedge:CLK 180.239:180.239:180.239 -HOLD negedge:D[4] posedge:CLK 177.004:177.004:177.004 +HOLD negedge:D[4] posedge:CLK 170.471:170.471:170.471 HOLD negedge:D[5] posedge:CLK 186.749:186.749:186.749 HOLD negedge:D[6] posedge:CLK 186.895:186.895:186.895 -HOLD negedge:D[7] posedge:CLK 181.237:181.237:181.237 -HOLD negedge:D[8] posedge:CLK 254.011:254.011:254.011 -HOLD negedge:D[9] posedge:CLK 173.363:173.363:173.363 -HOLD negedge:D[10] posedge:CLK 259.158:259.158:259.158 +HOLD negedge:D[7] posedge:CLK 181.209:181.209:181.209 +HOLD negedge:D[8] posedge:CLK 248.742:248.742:248.742 +HOLD negedge:D[9] posedge:CLK 172.629:172.629:172.629 +HOLD negedge:D[10] posedge:CLK 252.963:252.963:252.963 HOLD negedge:D[11] posedge:CLK 256.257:256.257:256.257 HOLD negedge:D[12] posedge:CLK 253.946:253.946:253.946 -HOLD negedge:D[13] posedge:CLK 249.826:249.826:249.826 -HOLD negedge:D[14] posedge:CLK 182.441:182.441:182.441 +HOLD negedge:D[13] posedge:CLK 248.781:248.781:248.781 +HOLD negedge:D[14] posedge:CLK 177.772:177.772:177.772 HOLD negedge:D[15] posedge:CLK 259.634:259.634:259.634 -HOLD negedge:DHOLD posedge:CLK 234.001:234.001:234.001 -HOLD negedge:OHOLDBOT posedge:CLK 157.226:157.226:157.226 +HOLD negedge:DHOLD posedge:CLK 233.999:233.999:233.999 +HOLD negedge:OHOLDBOT posedge:CLK 149.494:149.494:149.494 HOLD negedge:OHOLDTOP posedge:CLK 157.282:157.282:157.282 -HOLD negedge:OLOADBOT posedge:CLK 193.798:193.798:193.798 -HOLD negedge:OLOADTOP posedge:CLK 177.653:177.653:177.653 +HOLD negedge:OLOADBOT posedge:CLK 194.446:194.446:194.446 +HOLD negedge:OLOADTOP posedge:CLK 178.665:178.665:178.665 HOLD posedge:A[0] posedge:CLK 174.322:174.322:174.322 HOLD posedge:A[1] posedge:CLK 171.322:171.322:171.322 HOLD posedge:A[2] posedge:CLK 163.459:163.459:163.459 HOLD posedge:A[3] posedge:CLK 162.852:162.852:162.852 HOLD posedge:A[4] posedge:CLK 166.475:166.475:166.475 -HOLD posedge:A[5] posedge:CLK 140.36:140.36:140.36 +HOLD posedge:A[5] posedge:CLK 140.361:140.361:140.361 HOLD posedge:A[6] posedge:CLK 167.946:167.946:167.946 -HOLD posedge:A[7] posedge:CLK 160.919:160.919:160.919 +HOLD posedge:A[7] posedge:CLK 159.272:159.272:159.272 HOLD posedge:A[8] posedge:CLK 138.172:138.172:138.172 HOLD posedge:A[9] posedge:CLK 129.888:129.888:129.888 HOLD posedge:A[10] posedge:CLK 136.785:136.785:136.785 @@ -5992,31 +8212,31 @@ HOLD posedge:A[13] posedge:CLK 131.736:131.736:131.736 HOLD posedge:A[14] posedge:CLK 125.36:125.36:125.36 HOLD posedge:A[15] posedge:CLK 139.019:139.019:139.019 HOLD posedge:ADDSUBBOT posedge:CLK 58.029:58.029:58.029 -HOLD posedge:ADDSUBTOP posedge:CLK 51.097:51.097:51.097 +HOLD posedge:ADDSUBTOP posedge:CLK 51.971:51.971:51.971 HOLD posedge:AHOLD posedge:CLK 163.435:163.435:163.435 -HOLD posedge:B[0] posedge:CLK 159.269:159.269:159.269 +HOLD posedge:B[0] posedge:CLK 159.227:159.227:159.227 HOLD posedge:B[1] posedge:CLK 161.656:161.656:161.656 HOLD posedge:B[2] posedge:CLK 154.102:154.102:154.102 -HOLD posedge:B[3] posedge:CLK 158.305:158.305:158.305 +HOLD posedge:B[3] posedge:CLK 158.267:158.267:158.267 HOLD posedge:B[4] posedge:CLK 161.178:161.178:161.178 -HOLD posedge:B[5] posedge:CLK 145.703:145.703:145.703 +HOLD posedge:B[5] posedge:CLK 145.66:145.66:145.66 HOLD posedge:B[6] posedge:CLK 141.52:141.52:141.52 -HOLD posedge:B[7] posedge:CLK 142.364:142.364:142.364 -HOLD posedge:B[8] posedge:CLK 139.228:139.228:139.228 +HOLD posedge:B[7] posedge:CLK 142.363:142.363:142.363 +HOLD posedge:B[8] posedge:CLK 139.225:139.225:139.225 HOLD posedge:B[9] posedge:CLK 151.105:151.105:151.105 -HOLD posedge:B[10] posedge:CLK 129.81:129.81:129.81 +HOLD posedge:B[10] posedge:CLK 129.808:129.808:129.808 HOLD posedge:B[11] posedge:CLK 136.215:136.215:136.215 HOLD posedge:B[12] posedge:CLK 146.332:146.332:146.332 HOLD posedge:B[13] posedge:CLK 137.53:137.53:137.53 -HOLD posedge:B[14] posedge:CLK 129.906:129.906:129.906 -HOLD posedge:B[15] posedge:CLK 153.892:153.892:153.892 +HOLD posedge:B[14] posedge:CLK 129.905:129.905:129.905 +HOLD posedge:B[15] posedge:CLK 153.884:153.884:153.884 HOLD posedge:BHOLD posedge:CLK 146.57:146.57:146.57 HOLD posedge:C[0] posedge:CLK 196.313:196.313:196.313 -HOLD posedge:C[1] posedge:CLK 199.675:199.675:199.675 -HOLD posedge:C[2] posedge:CLK 194.519:194.519:194.519 +HOLD posedge:C[1] posedge:CLK 199.623:199.623:199.623 +HOLD posedge:C[2] posedge:CLK 194.01:194.01:194.01 HOLD posedge:C[3] posedge:CLK 193.574:193.574:193.574 -HOLD posedge:C[4] posedge:CLK 193.811:193.811:193.811 -HOLD posedge:C[5] posedge:CLK 196.306:196.306:196.306 +HOLD posedge:C[4] posedge:CLK 193.81:193.81:193.81 +HOLD posedge:C[5] posedge:CLK 195.343:195.343:195.343 HOLD posedge:C[6] posedge:CLK 189.812:189.812:189.812 HOLD posedge:C[7] posedge:CLK 197.702:197.702:197.702 HOLD posedge:C[8] posedge:CLK 193.862:193.862:193.862 @@ -6030,29 +8250,29 @@ HOLD posedge:C[15] posedge:CLK 194.588:194.588:194.588 HOLD posedge:CHOLD posedge:CLK 184.582:184.582:184.582 HOLD posedge:D[0] posedge:CLK 149.936:149.936:149.936 HOLD posedge:D[1] posedge:CLK 143.924:143.924:143.924 -HOLD posedge:D[2] posedge:CLK 138.822:138.822:138.822 +HOLD posedge:D[2] posedge:CLK 138.821:138.821:138.821 HOLD posedge:D[3] posedge:CLK 136.464:136.464:136.464 -HOLD posedge:D[4] posedge:CLK 135.375:135.375:135.375 +HOLD posedge:D[4] posedge:CLK 129.506:129.506:129.506 HOLD posedge:D[5] posedge:CLK 144.025:144.025:144.025 HOLD posedge:D[6] posedge:CLK 147.529:147.529:147.529 -HOLD posedge:D[7] posedge:CLK 140.477:140.477:140.477 -HOLD posedge:D[8] posedge:CLK 208.339:208.339:208.339 -HOLD posedge:D[9] posedge:CLK 136.361:136.361:136.361 -HOLD posedge:D[10] posedge:CLK 210.15:210.15:210.15 +HOLD posedge:D[7] posedge:CLK 140.476:140.476:140.476 +HOLD posedge:D[8] posedge:CLK 205.497:205.497:205.497 +HOLD posedge:D[9] posedge:CLK 133.806:133.806:133.806 +HOLD posedge:D[10] posedge:CLK 204.539:204.539:204.539 HOLD posedge:D[11] posedge:CLK 209.024:209.024:209.024 HOLD posedge:D[12] posedge:CLK 206.327:206.327:206.327 -HOLD posedge:D[13] posedge:CLK 202.007:202.007:202.007 -HOLD posedge:D[14] posedge:CLK 140.511:140.511:140.511 +HOLD posedge:D[13] posedge:CLK 201.378:201.378:201.378 +HOLD posedge:D[14] posedge:CLK 138.606:138.606:138.606 HOLD posedge:D[15] posedge:CLK 210.689:210.689:210.689 HOLD posedge:DHOLD posedge:CLK 196.151:196.151:196.151 -HOLD posedge:OHOLDBOT posedge:CLK 187.891:187.891:187.891 +HOLD posedge:OHOLDBOT posedge:CLK 181.224:181.224:181.224 HOLD posedge:OHOLDTOP posedge:CLK 186.884:186.884:186.884 -HOLD posedge:OLOADBOT posedge:CLK 171.27:171.27:171.27 -HOLD posedge:OLOADTOP posedge:CLK 164.086:164.086:164.086 -RECOVERY negedge:IRSTBOT posedge:CLK 797.724:797.724:797.724 -RECOVERY negedge:IRSTTOP posedge:CLK 849.18:849.18:849.18 +HOLD posedge:OLOADBOT posedge:CLK 171.851:171.851:171.851 +HOLD posedge:OLOADTOP posedge:CLK 163.45:163.45:163.45 +RECOVERY negedge:IRSTBOT posedge:CLK 797.559:797.559:797.559 +RECOVERY negedge:IRSTTOP posedge:CLK 849.107:849.107:849.107 RECOVERY negedge:ORSTBOT posedge:CLK 615.935:615.935:615.935 -RECOVERY negedge:ORSTTOP posedge:CLK 709.441:709.441:709.441 +RECOVERY negedge:ORSTTOP posedge:CLK 711.505:711.505:711.505 RECOVERY posedge:IRSTBOT posedge:CLK 0:0:0 RECOVERY posedge:IRSTTOP posedge:CLK 0:0:0 RECOVERY posedge:ORSTBOT posedge:CLK 0:0:0 @@ -6065,193 +8285,191 @@ REMOVAL posedge:IRSTBOT posedge:CLK 0:0:0 REMOVAL posedge:IRSTTOP posedge:CLK 0:0:0 REMOVAL posedge:ORSTBOT posedge:CLK 0:0:0 REMOVAL posedge:ORSTTOP posedge:CLK 0:0:0 -SETUP negedge:A[0] posedge:CLK -77.473:-77.473:-77.473 -SETUP negedge:A[1] posedge:CLK -71.881:-71.881:-71.881 +SETUP negedge:A[0] posedge:CLK -77.471:-77.471:-77.471 +SETUP negedge:A[1] posedge:CLK -71.877:-71.877:-71.877 SETUP negedge:A[2] posedge:CLK -73.986:-73.986:-73.986 -SETUP negedge:A[3] posedge:CLK -64.638:-64.638:-64.638 -SETUP negedge:A[4] posedge:CLK -67.238:-67.238:-67.238 -SETUP negedge:A[5] posedge:CLK -49.595:-49.595:-49.595 +SETUP negedge:A[3] posedge:CLK -64.635:-64.635:-64.635 +SETUP negedge:A[4] posedge:CLK -67.234:-67.234:-67.234 +SETUP negedge:A[5] posedge:CLK -49.594:-49.594:-49.594 SETUP negedge:A[6] posedge:CLK -75.652:-75.652:-75.652 -SETUP negedge:A[7] posedge:CLK -59.925:-59.925:-59.925 -SETUP negedge:A[8] posedge:CLK -47.479:-47.479:-47.479 -SETUP negedge:A[9] posedge:CLK -15.901:-15.901:-15.901 -SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 -SETUP negedge:A[11] posedge:CLK -42.005:-42.005:-42.005 -SETUP negedge:A[12] posedge:CLK -38.201:-38.201:-38.201 -SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 -SETUP negedge:A[14] posedge:CLK -22.514:-22.514:-22.514 -SETUP negedge:A[15] posedge:CLK -42.39:-42.39:-42.39 -SETUP negedge:ADDSUBBOT posedge:CLK 1948.21:1948.21:1948.21 -SETUP negedge:ADDSUBTOP posedge:CLK 1384.26:1384.26:1384.26 -SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 -SETUP negedge:B[0] posedge:CLK -54.503:-54.503:-54.503 -SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 -SETUP negedge:B[2] posedge:CLK -54.492:-54.492:-54.492 -SETUP negedge:B[3] posedge:CLK -63.529:-63.529:-63.529 -SETUP negedge:B[4] posedge:CLK -41.745:-41.745:-41.745 -SETUP negedge:B[5] posedge:CLK -46.83:-46.83:-46.83 -SETUP negedge:B[6] posedge:CLK -43.289:-43.289:-43.289 -SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 -SETUP negedge:B[8] posedge:CLK -49.684:-49.684:-49.684 -SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 -SETUP negedge:B[10] posedge:CLK -19.205:-19.205:-19.205 -SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 -SETUP negedge:B[12] posedge:CLK -43.714:-43.714:-43.714 -SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 -SETUP negedge:B[14] posedge:CLK -21.965:-21.965:-21.965 -SETUP negedge:B[15] posedge:CLK -28.196:-28.196:-28.196 -SETUP negedge:BHOLD posedge:CLK 264.441:264.441:264.441 -SETUP negedge:C[0] posedge:CLK -65.088:-65.088:-65.088 -SETUP negedge:C[1] posedge:CLK -67.488:-67.488:-67.488 -SETUP negedge:C[2] posedge:CLK -78.958:-78.958:-78.958 -SETUP negedge:C[3] posedge:CLK -74.861:-74.861:-74.861 -SETUP negedge:C[4] posedge:CLK -63.449:-63.449:-63.449 -SETUP negedge:C[5] posedge:CLK -62.561:-62.561:-62.561 -SETUP negedge:C[6] posedge:CLK -70.749:-70.749:-70.749 -SETUP negedge:C[7] posedge:CLK -62.793:-62.793:-62.793 -SETUP negedge:C[8] posedge:CLK -64.198:-64.198:-64.198 -SETUP negedge:C[9] posedge:CLK -69.708:-69.708:-69.708 -SETUP negedge:C[10] posedge:CLK -64.965:-64.965:-64.965 -SETUP negedge:C[11] posedge:CLK -63.909:-63.909:-63.909 -SETUP negedge:C[12] posedge:CLK -71.105:-71.105:-71.105 -SETUP negedge:C[13] posedge:CLK -70.516:-70.516:-70.516 -SETUP negedge:C[14] posedge:CLK -68.482:-68.482:-68.482 -SETUP negedge:C[15] posedge:CLK -86.58:-86.58:-86.58 -SETUP negedge:CHOLD posedge:CLK 196.583:196.583:196.583 -SETUP negedge:D[0] posedge:CLK -48.447:-48.447:-48.447 -SETUP negedge:D[1] posedge:CLK -45.711:-45.711:-45.711 -SETUP negedge:D[2] posedge:CLK -39.393:-39.393:-39.393 -SETUP negedge:D[3] posedge:CLK -47.595:-47.595:-47.595 -SETUP negedge:D[4] posedge:CLK -27.159:-27.159:-27.159 -SETUP negedge:D[5] posedge:CLK -40.55:-40.55:-40.55 -SETUP negedge:D[6] posedge:CLK -32.331:-32.331:-32.331 -SETUP negedge:D[7] posedge:CLK -44.414:-44.414:-44.414 -SETUP negedge:D[8] posedge:CLK -90.946:-90.946:-90.946 -SETUP negedge:D[9] posedge:CLK -29.741:-29.741:-29.741 -SETUP negedge:D[10] posedge:CLK -92.825:-92.825:-92.825 -SETUP negedge:D[11] posedge:CLK -94.672:-94.672:-94.672 -SETUP negedge:D[12] posedge:CLK -93.497:-93.497:-93.497 -SETUP negedge:D[13] posedge:CLK -90.431:-90.431:-90.431 -SETUP negedge:D[14] posedge:CLK -31.009:-31.009:-31.009 -SETUP negedge:D[15] posedge:CLK -87.209:-87.209:-87.209 -SETUP negedge:DHOLD posedge:CLK 240.354:240.354:240.354 -SETUP negedge:OHOLDBOT posedge:CLK 325.725:325.725:325.725 -SETUP negedge:OHOLDTOP posedge:CLK 221.298:221.298:221.298 -SETUP negedge:OLOADBOT posedge:CLK 342.695:342.695:342.695 -SETUP negedge:OLOADTOP posedge:CLK 276.727:276.727:276.727 +SETUP negedge:A[7] posedge:CLK -62.293:-62.293:-62.293 +SETUP negedge:A[8] posedge:CLK -47.478:-47.478:-47.478 +SETUP negedge:A[9] posedge:CLK -15.9:-15.9:-15.9 +SETUP negedge:A[10] posedge:CLK -41.604:-41.604:-41.604 +SETUP negedge:A[11] posedge:CLK -42.004:-42.004:-42.004 +SETUP negedge:A[12] posedge:CLK -38.212:-38.212:-38.212 +SETUP negedge:A[13] posedge:CLK -39.344:-39.344:-39.344 +SETUP negedge:A[14] posedge:CLK -22.509:-22.509:-22.509 +SETUP negedge:A[15] posedge:CLK -42.389:-42.389:-42.389 +SETUP negedge:ADDSUBBOT posedge:CLK 1371.05:1371.05:1371.05 +SETUP negedge:ADDSUBTOP posedge:CLK 1376.18:1376.18:1376.18 +SETUP negedge:AHOLD posedge:CLK 285.142:285.142:285.142 +SETUP negedge:B[0] posedge:CLK -54.518:-54.518:-54.518 +SETUP negedge:B[1] posedge:CLK -54.051:-54.051:-54.051 +SETUP negedge:B[2] posedge:CLK -54.579:-54.579:-54.579 +SETUP negedge:B[3] posedge:CLK -63.621:-63.621:-63.621 +SETUP negedge:B[4] posedge:CLK -41.744:-41.744:-41.744 +SETUP negedge:B[5] posedge:CLK -46.918:-46.918:-46.918 +SETUP negedge:B[6] posedge:CLK -43.288:-43.288:-43.288 +SETUP negedge:B[7] posedge:CLK -43.367:-43.367:-43.367 +SETUP negedge:B[8] posedge:CLK -49.686:-49.686:-49.686 +SETUP negedge:B[9] posedge:CLK -57.778:-57.778:-57.778 +SETUP negedge:B[10] posedge:CLK -19.203:-19.203:-19.203 +SETUP negedge:B[11] posedge:CLK -41.766:-41.766:-41.766 +SETUP negedge:B[12] posedge:CLK -43.713:-43.713:-43.713 +SETUP negedge:B[13] posedge:CLK -13.597:-13.597:-13.597 +SETUP negedge:B[14] posedge:CLK -21.966:-21.966:-21.966 +SETUP negedge:B[15] posedge:CLK -28.205:-28.205:-28.205 +SETUP negedge:BHOLD posedge:CLK 264.407:264.407:264.407 +SETUP negedge:C[0] posedge:CLK 171.759:171.759:171.759 +SETUP negedge:C[1] posedge:CLK 162.194:162.194:162.194 +SETUP negedge:C[2] posedge:CLK 149.402:149.402:149.402 +SETUP negedge:C[3] posedge:CLK 138.859:138.859:138.859 +SETUP negedge:C[4] posedge:CLK 147.951:147.951:147.951 +SETUP negedge:C[5] posedge:CLK 130.538:130.538:130.538 +SETUP negedge:C[6] posedge:CLK 161.699:161.699:161.699 +SETUP negedge:C[7] posedge:CLK 148.388:148.388:148.388 +SETUP negedge:C[8] posedge:CLK 145.784:145.784:145.784 +SETUP negedge:C[9] posedge:CLK 124.056:124.056:124.056 +SETUP negedge:C[10] posedge:CLK 136.101:136.101:136.101 +SETUP negedge:C[11] posedge:CLK 151.454:151.454:151.454 +SETUP negedge:C[12] posedge:CLK 138.56:138.56:138.56 +SETUP negedge:C[13] posedge:CLK 152.873:152.873:152.873 +SETUP negedge:C[14] posedge:CLK 142.095:142.095:142.095 +SETUP negedge:C[15] posedge:CLK 137.211:137.211:137.211 +SETUP negedge:CHOLD posedge:CLK 196.56:196.56:196.56 +SETUP negedge:D[0] posedge:CLK 219.648:219.648:219.648 +SETUP negedge:D[1] posedge:CLK 188.401:188.401:188.401 +SETUP negedge:D[2] posedge:CLK 174.237:174.237:174.237 +SETUP negedge:D[3] posedge:CLK 135.109:135.109:135.109 +SETUP negedge:D[4] posedge:CLK 174.314:174.314:174.314 +SETUP negedge:D[5] posedge:CLK 191.513:191.513:191.513 +SETUP negedge:D[6] posedge:CLK 155.322:155.322:155.322 +SETUP negedge:D[7] posedge:CLK 149.74:149.74:149.74 +SETUP negedge:D[8] posedge:CLK 143.368:143.368:143.368 +SETUP negedge:D[9] posedge:CLK 147.15:147.15:147.15 +SETUP negedge:D[10] posedge:CLK 166.267:166.267:166.267 +SETUP negedge:D[11] posedge:CLK 143.41:143.41:143.41 +SETUP negedge:D[12] posedge:CLK 141.628:141.628:141.628 +SETUP negedge:D[13] posedge:CLK 109.927:109.927:109.927 +SETUP negedge:D[14] posedge:CLK 170.776:170.776:170.776 +SETUP negedge:D[15] posedge:CLK 107.669:107.669:107.669 +SETUP negedge:DHOLD posedge:CLK 240.311:240.311:240.311 +SETUP negedge:OHOLDBOT posedge:CLK 313.047:313.047:313.047 +SETUP negedge:OHOLDTOP posedge:CLK 221.054:221.054:221.054 +SETUP negedge:OLOADBOT posedge:CLK 342.935:342.935:342.935 +SETUP negedge:OLOADTOP posedge:CLK 278.583:278.583:278.583 SETUP posedge:A[0] posedge:CLK -52.512:-52.512:-52.512 SETUP posedge:A[1] posedge:CLK -43.696:-43.696:-43.696 SETUP posedge:A[2] posedge:CLK -56.595:-56.595:-56.595 SETUP posedge:A[3] posedge:CLK -30.939:-30.939:-30.939 SETUP posedge:A[4] posedge:CLK -36.245:-36.245:-36.245 -SETUP posedge:A[5] posedge:CLK -25.992:-25.992:-25.992 +SETUP posedge:A[5] posedge:CLK -25.999:-25.999:-25.999 SETUP posedge:A[6] posedge:CLK -55.085:-55.085:-55.085 -SETUP posedge:A[7] posedge:CLK -38.191:-38.191:-38.191 +SETUP posedge:A[7] posedge:CLK -39.895:-39.895:-39.895 SETUP posedge:A[8] posedge:CLK -40.441:-40.441:-40.441 SETUP posedge:A[9] posedge:CLK 10.648:10.648:10.648 -SETUP posedge:A[10] posedge:CLK -22.25:-22.25:-22.25 +SETUP posedge:A[10] posedge:CLK -22.249:-22.249:-22.249 SETUP posedge:A[11] posedge:CLK -30.189:-30.189:-30.189 -SETUP posedge:A[12] posedge:CLK -20.236:-20.236:-20.236 -SETUP posedge:A[13] posedge:CLK -27.669:-27.669:-27.669 -SETUP posedge:A[14] posedge:CLK -6.47:-6.47:-6.47 +SETUP posedge:A[12] posedge:CLK -20.247:-20.247:-20.247 +SETUP posedge:A[13] posedge:CLK -27.668:-27.668:-27.668 +SETUP posedge:A[14] posedge:CLK -6.469:-6.469:-6.469 SETUP posedge:A[15] posedge:CLK -23.253:-23.253:-23.253 -SETUP posedge:ADDSUBBOT posedge:CLK 1990.4:1990.4:1990.4 -SETUP posedge:ADDSUBTOP posedge:CLK 1420.9:1420.9:1420.9 +SETUP posedge:ADDSUBBOT posedge:CLK 1413.14:1413.14:1413.14 +SETUP posedge:ADDSUBTOP posedge:CLK 1413.94:1413.94:1413.94 SETUP posedge:AHOLD posedge:CLK 228.311:228.311:228.311 -SETUP posedge:B[0] posedge:CLK -36.76:-36.76:-36.76 +SETUP posedge:B[0] posedge:CLK -36.945:-36.945:-36.945 SETUP posedge:B[1] posedge:CLK -41.073:-41.073:-41.073 -SETUP posedge:B[2] posedge:CLK -38.514:-38.514:-38.514 -SETUP posedge:B[3] posedge:CLK -48.154:-48.154:-48.154 -SETUP posedge:B[4] posedge:CLK -21.082:-21.082:-21.082 -SETUP posedge:B[5] posedge:CLK -25.634:-25.634:-25.634 +SETUP posedge:B[2] posedge:CLK -38.814:-38.814:-38.814 +SETUP posedge:B[3] posedge:CLK -48.415:-48.415:-48.415 +SETUP posedge:B[4] posedge:CLK -21.081:-21.081:-21.081 +SETUP posedge:B[5] posedge:CLK -25.633:-25.633:-25.633 SETUP posedge:B[6] posedge:CLK -19.568:-19.568:-19.568 -SETUP posedge:B[7] posedge:CLK -23.844:-23.844:-23.844 -SETUP posedge:B[8] posedge:CLK -37.375:-37.375:-37.375 -SETUP posedge:B[9] posedge:CLK -43.787:-43.787:-43.787 +SETUP posedge:B[7] posedge:CLK -23.845:-23.845:-23.845 +SETUP posedge:B[8] posedge:CLK -37.373:-37.373:-37.373 +SETUP posedge:B[9] posedge:CLK -43.785:-43.785:-43.785 SETUP posedge:B[10] posedge:CLK 1.875:1.875:1.875 -SETUP posedge:B[11] posedge:CLK -20.631:-20.631:-20.631 +SETUP posedge:B[11] posedge:CLK -20.63:-20.63:-20.63 SETUP posedge:B[12] posedge:CLK -16.556:-16.556:-16.556 -SETUP posedge:B[13] posedge:CLK 4.908:4.908:4.908 -SETUP posedge:B[14] posedge:CLK -6.688:-6.688:-6.688 -SETUP posedge:B[15] posedge:CLK -10.426:-10.426:-10.426 -SETUP posedge:BHOLD posedge:CLK 221.104:221.104:221.104 -SETUP posedge:C[0] posedge:CLK -52.649:-52.649:-52.649 -SETUP posedge:C[1] posedge:CLK -48.462:-48.462:-48.462 -SETUP posedge:C[2] posedge:CLK -56.661:-56.661:-56.661 -SETUP posedge:C[3] posedge:CLK -49.11:-49.11:-49.11 -SETUP posedge:C[4] posedge:CLK -42.401:-42.401:-42.401 -SETUP posedge:C[5] posedge:CLK -48.085:-48.085:-48.085 -SETUP posedge:C[6] posedge:CLK -62.064:-62.064:-62.064 -SETUP posedge:C[7] posedge:CLK -44.84:-44.84:-44.84 -SETUP posedge:C[8] posedge:CLK -51.954:-51.954:-51.954 -SETUP posedge:C[9] posedge:CLK -54.79:-54.79:-54.79 -SETUP posedge:C[10] posedge:CLK -45.967:-45.967:-45.967 -SETUP posedge:C[11] posedge:CLK -43.927:-43.927:-43.927 -SETUP posedge:C[12] posedge:CLK -57.279:-57.279:-57.279 -SETUP posedge:C[13] posedge:CLK -48.749:-48.749:-48.749 -SETUP posedge:C[14] posedge:CLK -54.83:-54.83:-54.83 -SETUP posedge:C[15] posedge:CLK -73.046:-73.046:-73.046 +SETUP posedge:B[13] posedge:CLK 4.909:4.909:4.909 +SETUP posedge:B[14] posedge:CLK -6.683:-6.683:-6.683 +SETUP posedge:B[15] posedge:CLK -10.423:-10.423:-10.423 +SETUP posedge:BHOLD posedge:CLK 221.109:221.109:221.109 +SETUP posedge:C[0] posedge:CLK 152.163:152.163:152.163 +SETUP posedge:C[1] posedge:CLK 159.364:159.364:159.364 +SETUP posedge:C[2] posedge:CLK 140.319:140.319:140.319 +SETUP posedge:C[3] posedge:CLK 146.996:146.996:146.996 +SETUP posedge:C[4] posedge:CLK 146.059:146.059:146.059 +SETUP posedge:C[5] posedge:CLK 122.886:122.886:122.886 +SETUP posedge:C[6] posedge:CLK 148.269:148.269:148.269 +SETUP posedge:C[7] posedge:CLK 138.751:138.751:138.751 +SETUP posedge:C[8] posedge:CLK 133.598:133.598:133.598 +SETUP posedge:C[9] posedge:CLK 102.902:102.902:102.902 +SETUP posedge:C[10] posedge:CLK 122.447:122.447:122.447 +SETUP posedge:C[11] posedge:CLK 130.205:130.205:130.205 +SETUP posedge:C[12] posedge:CLK 119.61:119.61:119.61 +SETUP posedge:C[13] posedge:CLK 142.815:142.815:142.815 +SETUP posedge:C[14] posedge:CLK 125.506:125.506:125.506 +SETUP posedge:C[15] posedge:CLK 132.911:132.911:132.911 SETUP posedge:CHOLD posedge:CLK 152.68:152.68:152.68 -SETUP posedge:D[0] posedge:CLK -31.413:-31.413:-31.413 -SETUP posedge:D[1] posedge:CLK -22.733:-22.733:-22.733 -SETUP posedge:D[2] posedge:CLK -11.518:-11.518:-11.518 -SETUP posedge:D[3] posedge:CLK -30.675:-30.675:-30.675 -SETUP posedge:D[4] posedge:CLK -15.605:-15.605:-15.605 -SETUP posedge:D[5] posedge:CLK -25.758:-25.758:-25.758 -SETUP posedge:D[6] posedge:CLK -10.128:-10.128:-10.128 -SETUP posedge:D[7] posedge:CLK -30.187:-30.187:-30.187 -SETUP posedge:D[8] posedge:CLK -82.692:-82.692:-82.692 -SETUP posedge:D[9] posedge:CLK -11.364:-11.364:-11.364 -SETUP posedge:D[10] posedge:CLK -74.081:-74.081:-74.081 -SETUP posedge:D[11] posedge:CLK -86.016:-86.016:-86.016 -SETUP posedge:D[12] posedge:CLK -77.487:-77.487:-77.487 -SETUP posedge:D[13] posedge:CLK -62.07:-62.07:-62.07 -SETUP posedge:D[14] posedge:CLK -14.539:-14.539:-14.539 -SETUP posedge:D[15] posedge:CLK -68.431:-68.431:-68.431 -SETUP posedge:DHOLD posedge:CLK 193.771:193.771:193.771 -SETUP posedge:OHOLDBOT posedge:CLK 261.816:261.816:261.816 -SETUP posedge:OHOLDTOP posedge:CLK 160.37:160.37:160.37 -SETUP posedge:OLOADBOT posedge:CLK 331.775:331.775:331.775 -SETUP posedge:OLOADTOP posedge:CLK 295.711:295.711:295.711 -IOPATH ADDSUBBOT ACCUMCO 2256.31:2256.31:2256.31 2495.79:2495.79:2495.79 -IOPATH ADDSUBBOT CO 2828.72:2828.72:2828.72 3041.78:3041.78:3041.78 -IOPATH ADDSUBTOP ACCUMCO 1605.84:1605.84:1605.84 1893.57:1893.57:1893.57 -IOPATH ADDSUBTOP CO 459.731:459.731:459.731 449.378:449.378:449.378 -IOPATH posedge:CLK ACCUMCO 2790.07:2790.07:2790.07 3051.96:3051.96:3051.96 -IOPATH posedge:CLK CO 3362.47:3362.47:3362.47 3597.95:3597.95:3597.95 -IOPATH posedge:CLK O[0] 985.237:985.237:985.237 1024.88:1024.88:1024.88 -IOPATH posedge:CLK O[1] 977.986:977.986:977.986 993.269:993.269:993.269 -IOPATH posedge:CLK O[2] 1005.7:1005.7:1005.7 1040.73:1040.73:1040.73 -IOPATH posedge:CLK O[3] 1085.7:1085.7:1085.7 1124.37:1124.37:1124.37 -IOPATH posedge:CLK O[4] 1007.35:1007.35:1007.35 1050.14:1050.14:1050.14 -IOPATH posedge:CLK O[5] 1027.97:1027.97:1027.97 1080.45:1080.45:1080.45 -IOPATH posedge:CLK O[6] 1142.82:1142.82:1142.82 1216.15:1216.15:1216.15 -IOPATH posedge:CLK O[7] 1115.38:1115.38:1115.38 1187.21:1187.21:1187.21 -IOPATH posedge:CLK O[8] 1185.68:1185.68:1185.68 1283.36:1283.36:1283.36 -IOPATH posedge:CLK O[9] 1188.24:1188.24:1188.24 1310.28:1310.28:1310.28 -IOPATH posedge:CLK O[10] 1143.62:1143.62:1143.62 1222.95:1222.95:1222.95 -IOPATH posedge:CLK O[11] 1322.61:1322.61:1322.61 1412.98:1412.98:1412.98 -IOPATH posedge:CLK O[12] 1143.17:1143.17:1143.17 1210.69:1210.69:1210.69 -IOPATH posedge:CLK O[13] 1173.6:1173.6:1173.6 1267.91:1267.91:1267.91 -IOPATH posedge:CLK O[14] 1265.7:1265.7:1265.7 1367.56:1367.56:1367.56 -IOPATH posedge:CLK O[15] 1278.15:1278.15:1278.15 1376:1376:1376 -IOPATH posedge:CLK O[16] 1255.02:1255.02:1255.02 1420.56:1420.56:1420.56 -IOPATH posedge:CLK O[17] 1245.99:1245.99:1245.99 1392.59:1392.59:1392.59 -IOPATH posedge:CLK O[18] 1289.97:1289.97:1289.97 1478.77:1478.77:1478.77 -IOPATH posedge:CLK O[19] 1375.35:1375.35:1375.35 1494.53:1494.53:1494.53 -IOPATH posedge:CLK O[20] 1294.36:1294.36:1294.36 1390.06:1390.06:1390.06 -IOPATH posedge:CLK O[21] 1171.36:1171.36:1171.36 1250.98:1250.98:1250.98 -IOPATH posedge:CLK O[22] 1195.51:1195.51:1195.51 1311.92:1311.92:1311.92 -IOPATH posedge:CLK O[23] 1189.67:1189.67:1189.67 1285.86:1285.86:1285.86 -IOPATH posedge:CLK O[24] 1160.26:1160.26:1160.26 1242.81:1242.81:1242.81 -IOPATH posedge:CLK O[25] 1186.49:1186.49:1186.49 1283.54:1283.54:1283.54 -IOPATH posedge:CLK O[26] 1237.46:1237.46:1237.46 1368.93:1368.93:1368.93 -IOPATH posedge:CLK O[27] 1151.53:1151.53:1151.53 1227.48:1227.48:1227.48 -IOPATH posedge:CLK O[28] 1130.92:1130.92:1130.92 1204.94:1204.94:1204.94 -IOPATH posedge:CLK O[29] 1128.28:1128.28:1128.28 1197.65:1197.65:1197.65 -IOPATH posedge:CLK O[30] 1095.71:1095.71:1095.71 1142.97:1142.97:1142.97 -IOPATH posedge:CLK O[31] 1076.06:1076.06:1076.06 1118.95:1118.95:1118.95 -IOPATH posedge:CLK SIGNEXTOUT 1340.32:1340.32:1340.32 1272.03:1272.03:1272.03 +SETUP posedge:D[0] posedge:CLK 193.623:193.623:193.623 +SETUP posedge:D[1] posedge:CLK 190.973:190.973:190.973 +SETUP posedge:D[2] posedge:CLK 162.722:162.722:162.722 +SETUP posedge:D[3] posedge:CLK 127.911:127.911:127.911 +SETUP posedge:D[4] posedge:CLK 166.937:166.937:166.937 +SETUP posedge:D[5] posedge:CLK 188.082:188.082:188.082 +SETUP posedge:D[6] posedge:CLK 131.918:131.918:131.918 +SETUP posedge:D[7] posedge:CLK 153.25:153.25:153.25 +SETUP posedge:D[8] posedge:CLK 129.934:129.934:129.934 +SETUP posedge:D[9] posedge:CLK 140.934:140.934:140.934 +SETUP posedge:D[10] posedge:CLK 149.013:149.013:149.013 +SETUP posedge:D[11] posedge:CLK 126.798:126.798:126.798 +SETUP posedge:D[12] posedge:CLK 132.223:132.223:132.223 +SETUP posedge:D[13] posedge:CLK 105.304:105.304:105.304 +SETUP posedge:D[14] posedge:CLK 159.392:159.392:159.392 +SETUP posedge:D[15] posedge:CLK 115.627:115.627:115.627 +SETUP posedge:DHOLD posedge:CLK 193.767:193.767:193.767 +SETUP posedge:OHOLDBOT posedge:CLK 254.785:254.785:254.785 +SETUP posedge:OHOLDTOP posedge:CLK 160.17:160.17:160.17 +SETUP posedge:OLOADBOT posedge:CLK 331.518:331.518:331.518 +SETUP posedge:OLOADTOP posedge:CLK 295.649:295.649:295.649 +IOPATH ADDSUBTOP ACCUMCO 1601.27:1601.27:1601.27 1890.88:1890.88:1890.88 +IOPATH ADDSUBTOP CO 459.245:459.245:459.245 449.106:449.106:449.106 +IOPATH posedge:CLK ACCUMCO 2367.66:2367.66:2367.66 2654.83:2654.83:2654.83 +IOPATH posedge:CLK CO 2940.07:2940.07:2940.07 3200.82:3200.82:3200.82 +IOPATH posedge:CLK O[0] 1179.35:1179.35:1179.35 1321.62:1321.62:1321.62 +IOPATH posedge:CLK O[1] 1358.96:1358.96:1358.96 1504.24:1504.24:1504.24 +IOPATH posedge:CLK O[2] 1349.86:1349.86:1349.86 1494.01:1494.01:1494.01 +IOPATH posedge:CLK O[3] 1313.98:1313.98:1313.98 1429.72:1429.72:1429.72 +IOPATH posedge:CLK O[4] 1306.92:1306.92:1306.92 1419.95:1419.95:1419.95 +IOPATH posedge:CLK O[5] 1326.35:1326.35:1326.35 1454.62:1454.62:1454.62 +IOPATH posedge:CLK O[6] 1307.55:1307.55:1307.55 1435.93:1435.93:1435.93 +IOPATH posedge:CLK O[7] 1157.83:1157.83:1157.83 1265.92:1265.92:1265.92 +IOPATH posedge:CLK O[8] 1334.42:1334.42:1334.42 1399.24:1399.24:1399.24 +IOPATH posedge:CLK O[9] 1261.2:1261.2:1261.2 1356.27:1356.27:1356.27 +IOPATH posedge:CLK O[10] 1445.58:1445.58:1445.58 1524.86:1524.86:1524.86 +IOPATH posedge:CLK O[11] 1424.71:1424.71:1424.71 1498.96:1498.96:1498.96 +IOPATH posedge:CLK O[12] 1354.42:1354.42:1354.42 1425.22:1425.22:1425.22 +IOPATH posedge:CLK O[13] 1289.9:1289.9:1289.9 1361.6:1361.6:1361.6 +IOPATH posedge:CLK O[14] 1525.29:1525.29:1525.29 1600.58:1600.58:1600.58 +IOPATH posedge:CLK O[15] 1356.99:1356.99:1356.99 1434.44:1434.44:1434.44 +IOPATH posedge:CLK O[16] 1249.06:1249.06:1249.06 1356.38:1356.38:1356.38 +IOPATH posedge:CLK O[17] 1252.24:1252.24:1252.24 1397.15:1397.15:1397.15 +IOPATH posedge:CLK O[18] 1242.81:1242.81:1242.81 1385.48:1385.48:1385.48 +IOPATH posedge:CLK O[19] 1399.15:1399.15:1399.15 1517.13:1517.13:1517.13 +IOPATH posedge:CLK O[20] 1324.95:1324.95:1324.95 1429.32:1429.32:1429.32 +IOPATH posedge:CLK O[21] 1219.67:1219.67:1219.67 1324.57:1324.57:1324.57 +IOPATH posedge:CLK O[22] 1195.6:1195.6:1195.6 1306.86:1306.86:1306.86 +IOPATH posedge:CLK O[23] 1164.82:1164.82:1164.82 1273.39:1273.39:1273.39 +IOPATH posedge:CLK O[24] 1237.56:1237.56:1237.56 1359.01:1359.01:1359.01 +IOPATH posedge:CLK O[25] 1222.51:1222.51:1222.51 1318.76:1318.76:1318.76 +IOPATH posedge:CLK O[26] 1212.58:1212.58:1212.58 1303.17:1303.17:1303.17 +IOPATH posedge:CLK O[27] 1227.24:1227.24:1227.24 1320.96:1320.96:1320.96 +IOPATH posedge:CLK O[28] 1241.58:1241.58:1241.58 1342.8:1342.8:1342.8 +IOPATH posedge:CLK O[29] 1309.42:1309.42:1309.42 1396.29:1396.29:1396.29 +IOPATH posedge:CLK O[30] 1279.47:1279.47:1279.47 1399.54:1399.54:1399.54 +IOPATH posedge:CLK O[31] 1278.71:1278.71:1278.71 1388.55:1388.55:1388.55 +IOPATH posedge:CLK SIGNEXTOUT 1465.45:1465.45:1465.45 1431.72:1431.72:1431.72 CELL SB_MAC16_MUL_S_8X8_BYPASS IOPATH A[0] ACCUMCO 1474.89:1474.89:1474.89 1932.61:1932.61:1932.61 -- cgit v1.2.3