aboutsummaryrefslogtreecommitdiffstats
path: root/docs/index.html
diff options
context:
space:
mode:
Diffstat (limited to 'docs/index.html')
-rw-r--r--docs/index.html58
1 files changed, 30 insertions, 28 deletions
diff --git a/docs/index.html b/docs/index.html
index 3ca1721..9f6b6eb 100644
--- a/docs/index.html
+++ b/docs/index.html
@@ -20,9 +20,9 @@
<b>2017-03-13:</b> Released support for LP384 chips (in all package variants).<br/>
<b>2016-02-07:</b> Support for all package variants of LP1K, LP4K, LP8K and HX1K, HX4K, and HX8K.<br/>
<b>2016-01-17:</b> First release of IceTime timing analysis. Video: <a href="https://youtu.be/IG5CpFJRnOk">https://youtu.be/IG5CpFJRnOk</a><br/>
-<b>2015-12-27:</b> <a href="http://www.clifford.at/papers/2015/icestorm-flow/">Presentation</a> of the IceStorm flow at 32C3 (<a href="https://www.youtube.com/watch?v=SOn0g3k0FlE">Video on Youtube</a>).<br/>
+<b>2015-12-27:</b> <a href="http://bygone.clairexen.net/papers/2015/icestorm-flow/">Presentation</a> of the IceStorm flow at 32C3 (<a href="https://www.youtube.com/watch?v=SOn0g3k0FlE">Video on Youtube</a>).<br/>
<b>2015-07-19:</b> Released support for 8k chips. Moved IceStorm source code to GitHub.<br/>
-<b>2015-05-27:</b> We have a working fully Open Source flow with <a href="http://www.clifford.at/yosys/">Yosys</a> and <a href="https://github.com/cseed/arachne-pnr">Arachne-pnr</a>! Video: <a href="http://youtu.be/yUiNlmvVOq8">http://youtu.be/yUiNlmvVOq8</a><br/>
+<b>2015-05-27:</b> We have a working fully Open Source flow with <a href="http://bygone.clairexen.net/yosys/">Yosys</a> and <a href="https://github.com/cseed/arachne-pnr">Arachne-pnr</a>! Video: <a href="http://youtu.be/yUiNlmvVOq8">http://youtu.be/yUiNlmvVOq8</a><br/>
<b>2015-04-13:</b> Complete rewrite of IceUnpack, added IcePack, some major documentation updates<br/>
<b>2015-03-22:</b> First public release and short YouTube video demonstrating our work: <a href="http://youtu.be/u1ZHcSNDQMM">http://youtu.be/u1ZHcSNDQMM</a>
</p>
@@ -30,10 +30,10 @@
<h2>What is Project IceStorm?</h2>
<p>
-Project IceStorm aims at reverse engineering and documenting the bitstream
+Project IceStorm aims at documenting the bitstream
format of Lattice iCE40 FPGAs and providing simple tools for analyzing and
creating bitstream files. The IceStorm flow (<a
-href="http://www.clifford.at/yosys/">Yosys</a>, <a
+href="http://bygone.clairexen.net/yosys/">Yosys</a>, <a
href="https://github.com/cseed/arachne-pnr">Arachne-pnr</a>, and IceStorm) is a
fully open source Verilog-to-Bitstream flow for iCE40 FPGAs.
</p>
@@ -49,8 +49,8 @@ and UltraLite parts are not yet supported.
<p>
It has a very minimalistic architecture with a very regular structure. There are not many
-different kinds of tiles or special function units. This makes it both ideal for
-reverse engineering and as a reference platform for general purpose FPGA tool development.
+different kinds of tiles or special function units. This makes it both ideal for creating
+bitstream documentations and as a reference platform for general purpose FPGA tool development.
</p>
<p>
@@ -64,8 +64,8 @@ Breakout Board</a> featuring an HX8K chip.)
<h2>What is the Status of the Project?</h2>
<p>
-We are pretty confident that we have the 1K and 8K devices completely reverse
-engineered. For example, it seems we can create correct functional Verilog
+We are pretty confident that we have the 1K and 8K devices completely
+documented. For example, it seems we can create correct functional Verilog
models for all bitstreams generated by Lattice iCEcube2 for the iCE40
HX1K-TQ144 and the iCE40 HX8K-CT256 using our <tt>icebox_vlog</tt> tool.
</p>
@@ -115,7 +115,7 @@ Current work focuses on further improving our timing analysis flow.
<h2>How do I use the Fully Open Source iCE40 Flow?</h2>
<p>
-Synthesis for iCE40 FPGAs can be done with <a href="http://www.clifford.at/yosys/">Yosys</a>.
+Synthesis for iCE40 FPGAs can be done with <a href="http://bygone.clairexen.net/yosys/">Yosys</a>.
Place-and-route can be done with <a href="https://github.com/cseed/arachne-pnr">arachne-pnr</a>.
Here is an example script for implementing and programming the <a
href="https://github.com/cseed/arachne-pnr/tree/master/examples/rot">rot example from
@@ -162,10 +162,10 @@ Note: All tools will be installed relative to /usr/local
</p>
<p>
-Installing the <a href="https://github.com/cliffordwolf/icestorm">IceStorm Tools</a> (icepack, icebox, iceprog, icetime, chip databases):
+Installing the <a href="https://github.com/YosysHQ/icestorm">IceStorm Tools</a> (icepack, icebox, iceprog, icetime, chip databases):
</p>
-<pre style="padding-left: 3em">git clone https://github.com/cliffordwolf/icestorm.git icestorm
+<pre style="padding-left: 3em">git clone https://github.com/YosysHQ/icestorm.git icestorm
cd icestorm
make -j$(nproc)
sudo make install</pre>
@@ -183,17 +183,17 @@ sudo make install</pre>
Installing <a href="https://github.com/YosysHQ/nextpnr">NextPNR</a> (place&amp;route tool, Arachne-PNR replacement):
</p>
-<pre style="padding-left: 3em">git clone https://github.com/YosysHQ/nextpnr nextpnr
+<pre style="padding-left: 3em">git clone --recursive https://github.com/YosysHQ/nextpnr nextpnr
cd nextpnr
cmake -DARCH=ice40 -DCMAKE_INSTALL_PREFIX=/usr/local .
make -j$(nproc)
sudo make install</pre>
<p>
-Installing <a href="http://www.clifford.at/yosys/">Yosys</a> (Verilog synthesis):
+Installing <a href="http://bygone.clairexen.net/yosys/">Yosys</a> (Verilog synthesis):
</p>
-<pre style="padding-left: 3em">git clone https://github.com/cliffordwolf/yosys.git yosys
+<pre style="padding-left: 3em">git clone https://github.com/YosysHQ/yosys.git yosys
cd yosys
make -j$(nproc)
sudo make install</pre>
@@ -220,7 +220,7 @@ bit-streams to a Lattice iCEstick and/or a Lattice iCE40-HX8K Breakout Board as
</p>
<p>
-Please <a href="https://github.com/cliffordwolf/icestorm/issues/new">file an issue on github</a> if you have additional notes to
+Please <a href="https://github.com/YosysHQ/icestorm/issues/new">file an issue on github</a> if you have additional notes to
share regarding the install procedures on the operating system of your choice.
</p>
@@ -229,9 +229,9 @@ share regarding the install procedures on the operating system of your choice.
<p>
The IceStorm Tools are a couple of small programs for working with iCE40 bitstream files and our
ASCII representation of it. The complete Open Source iCE40 Flow consists of the <a
-href="https://github.com/cliffordwolf/icestorm">IceStorm Tools</a>, <a
+href="https://github.com/YosysHQ/icestorm">IceStorm Tools</a>, <a
href="https://github.com/cseed/arachne-pnr">Arachne-PNR</a>, and <a
-href="http://www.clifford.at/yosys/">Yosys</a>.
+href="http://bygone.clairexen.net/yosys/">Yosys</a>.
</p>
<h3>IcePack/IceUnpack</h3>
@@ -293,7 +293,7 @@ create an IceStorm ASCII file for the placed and routed design.
</p>
<p>
-<i>IcePack/IceUnpack, IceBox, IceProg, IceTime, and IcePLL are written by Clifford Wolf. IcePack/IceUnpack is based on a reference implementation provided by Mathias Lasser. IceMulti is written by Marcus Comstedt.</i>
+<i>IcePack/IceUnpack, IceBox, IceProg, IceTime, and IcePLL are written by Claire Wolf. IcePack/IceUnpack is based on a reference implementation provided by Mathias Lasser. IceMulti is written by Marcus Comstedt.</i>
</p>
<h2>Where do I get support or meet other IceStorm users?</h2>
@@ -309,8 +309,8 @@ For general discussions go to the <a href="https://www.reddit.com/r/yosys/">Yosy
</p>
<p>
-If you have a bug report please file an issue on github. (<a href="https://github.com/cliffordwolf/icestorm/issues">IceStorm Issue Tracker</a>,
-<a href="https://github.com/cliffordwolf/yosys/issues">Yosys Issue Tracker</a>, <a href="https://github.com/cseed/arachne-pnr/issues">Arachne-PNR Issue Tracker</a>)
+If you have a bug report please file an issue on github. (<a href="https://github.com/YosysHQ/icestorm/issues">IceStorm Issue Tracker</a>,
+<a href="https://github.com/YosysHQ/yosys/issues">Yosys Issue Tracker</a>, <a href="https://github.com/cseed/arachne-pnr/issues">Arachne-PNR Issue Tracker</a>)
</p>
<h2 id="docs">Where is the Documentation?</h2>
@@ -521,7 +521,7 @@ endmodule</pre>
<h2>Links</h2>
<p>
-Links to related projects. Contact me at clifford@clifford.at if you have an interesting and relevant link.
+Links to related projects. Contact me at claire@clairexen.net if you have an interesting and relevant link.
</p>
<ul>
@@ -557,31 +557,33 @@ Links to related projects. Contact me at clifford@clifford.at if you have an int
<li><a href="http://hedmen.org/icestorm-doc/icestorm.html">IceStorm Learner’s Documentation</a>
</ul>
-<h3>Other FPGA reverse engineering projects</h3>
+<h3>Other FPGA bitstream documentation projects</h3>
<ul>
-<li><a href="https://github.com/Wolfgang-Spraul/fpgatools">Xilinx xc6slx9 reverse engineering, Wolfgang Spraul</a>
+<li><a href="https://github.com/SymbiFlow/prjtrellis">ECP5 bitstream documentation (Project Trellis)</a>
+<li><a href="https://github.com/SymbiFlow/prjxray">Xilinx 7-series bitstream documentation (Project X-Ray)</a>
+<li><a href="https://github.com/Wolfgang-Spraul/fpgatools">Xilinx xc6slx9 documentation, Wolfgang Spraul</a>
<li><a href="http://www.fabienm.eu/flf/wp-content/uploads/2014/11/Note2008.pdf">From the bitstream to the netlist, Jean-Baptiste Note and Éric Rannaud</a>
-<li><a href="http://git.bfuser.eu/?p=marex/typhoon.git;a=commit">Cyclone IV EP4CE6 reverse engineering, Marek Vasut</a>
+<li><a href="http://git.bfuser.eu/?p=marex/typhoon.git;a=commit">Cyclone IV EP4CE6 documentation, Marek Vasut</a>
</ul>
<hr>
<p>
-In papers and reports, please refer to Project IceStorm as follows: Clifford Wolf, Mathias Lasser. Project IceStorm. http://www.clifford.at/icestorm/,
+In papers and reports, please refer to Project IceStorm as follows: Claire Wolf, Mathias Lasser. Project IceStorm. http://bygone.clairexen.net/icestorm/,
e.g. using the following BibTeX code:
</p>
<pre>@MISC{IceStorm,
- author = {Clifford Wolf and Mathias Lasser},
+ author = {Claire Wolf and Mathias Lasser},
title = {Project IceStorm},
- howpublished = "\url{http://www.clifford.at/icestorm/}"
+ howpublished = "\url{http://bygone.clairexen.net/icestorm/}"
}</pre>
<hr>
<p>
-<i>Documentation mostly by Clifford Wolf &lt;clifford@clifford.at&gt; in 2015. Based on research by Mathias Lasser and Clifford Wolf.<br/>
+<i>Documentation mostly by Claire Wolf &lt;claire@clairexen.net&gt; in 2015. Based on research by Mathias Lasser and Claire Wolf.<br/>
Buy an <a href="http://www.latticesemi.com/icestick">iCEstick</a> or <a href="http://www.latticesemi.com/en/Products/DevelopmentBoardsAndKits/iCE40HX8KBreakoutBoard.aspx">iCE40-HX8K Breakout Board</a> from Lattice and see what you can do with the tools and information provided here.</i>
</p>